Warning: Permanently added '52.90.221.231' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/9018047-fedora-40-x86_64 --chroot fedora-40-x86_64 Version: 1.3 PID: 9147 Logging PID: 9148 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 9018047, 'buildroot_pkgs': [], 'chroot': 'fedora-40-x86_64', 'enable_net': True, 'fedora_review': False, 'git_hash': 'afd6a057bafc4b95c4dc88a28fdd1debc41fa937', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.53-20250510.0.git69008181', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-40-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-40-x86_64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-40-x86_64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'storage': None, 'submitter': 'rezso', 'tags': [], 'task_id': '9018047-fedora-40-x86_64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys'... Running: git checkout afd6a057bafc4b95c4dc88a28fdd1debc41fa937 -- cmd: ['git', 'checkout', 'afd6a057bafc4b95c4dc88a28fdd1debc41fa937', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys rc: 0 stdout: stderr: Note: switching to 'afd6a057bafc4b95c4dc88a28fdd1debc41fa937'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at afd6a05 automatic import of yosys Running: dist-git-client sources cmd: ['dist-git-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1746949322.608794 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 6.1 starting (python version = 3.13.0, NVR = mock-6.1-1.fc41), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1746949322.608794 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys/yosys.spec) Config(fedora-40-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 6.1 INFO: Mock Version: 6.1 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-bootstrap-1746949322.608794/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using container image: registry.fedoraproject.org/fedora:40 INFO: Pulling image: registry.fedoraproject.org/fedora:40 INFO: Tagging container image as mock-bootstrap-648f0a01-f27d-4b7d-860d-9a0c4b90ad54 INFO: Checking that a05c184f575661a1847e5f21d5196d1d7f34cf9c842de2a9b62cee4302dab4bb image matches host's architecture INFO: Copy content of container a05c184f575661a1847e5f21d5196d1d7f34cf9c842de2a9b62cee4302dab4bb to /var/lib/mock/fedora-40-x86_64-bootstrap-1746949322.608794/root INFO: mounting a05c184f575661a1847e5f21d5196d1d7f34cf9c842de2a9b62cee4302dab4bb with podman image mount INFO: image a05c184f575661a1847e5f21d5196d1d7f34cf9c842de2a9b62cee4302dab4bb as /var/lib/containers/storage/overlay/668ecd1e2cfca3c2254ddb746a7a6559288c91c2ab5f6f19efa3714ea18cf3a6/merged INFO: umounting image a05c184f575661a1847e5f21d5196d1d7f34cf9c842de2a9b62cee4302dab4bb (/var/lib/containers/storage/overlay/668ecd1e2cfca3c2254ddb746a7a6559288c91c2ab5f6f19efa3714ea18cf3a6/merged) with podman image umount INFO: Removing image mock-bootstrap-648f0a01-f27d-4b7d-860d-9a0c4b90ad54 INFO: Using 'dnf4' instead of 'dnf5' for bootstrap chroot INFO: Package manager dnf4 detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 2.2 MB/s | 131 kB 00:00 Additional repo copr_rezso_ML 2.5 MB/s | 120 kB 00:00 Additional repo copr_rezso_CUDA 494 kB/s | 27 kB 00:00 Additional repo http_developer_download_nvidia_ 21 MB/s | 1.0 MB 00:00 Additional repo http_developer_download_nvidia_ 3.5 MB/s | 676 kB 00:00 Additional repo http_developer_download_nvidia_ 2.5 MB/s | 454 kB 00:00 fedora 28 MB/s | 20 MB 00:00 updates 25 MB/s | 13 MB 00:00 Dependencies resolved. ================================================================================ Package Architecture Version Repository Size ================================================================================ Installing: dnf5 x86_64 5.1.17-4.fc40 updates 696 k dnf5-plugins x86_64 5.1.17-4.fc40 updates 355 k Installing dependencies: fmt x86_64 10.2.1-5.fc40 updates 125 k libdnf5 x86_64 5.1.17-4.fc40 updates 996 k libdnf5-cli x86_64 5.1.17-4.fc40 updates 228 k sdbus-cpp x86_64 1.4.0-2.fc40 fedora 110 k Transaction Summary ================================================================================ Install 6 Packages Total download size: 2.5 M Installed size: 6.9 M Downloading Packages: (1/6): sdbus-cpp-1.4.0-2.fc40.x86_64.rpm 8.6 MB/s | 110 kB 00:00 (2/6): dnf5-5.1.17-4.fc40.x86_64.rpm 48 MB/s | 696 kB 00:00 (3/6): fmt-10.2.1-5.fc40.x86_64.rpm 47 MB/s | 125 kB 00:00 (4/6): dnf5-plugins-5.1.17-4.fc40.x86_64.rpm 21 MB/s | 355 kB 00:00 (5/6): libdnf5-cli-5.1.17-4.fc40.x86_64.rpm 63 MB/s | 228 kB 00:00 (6/6): libdnf5-5.1.17-4.fc40.x86_64.rpm 132 MB/s | 996 kB 00:00 -------------------------------------------------------------------------------- Total 14 MB/s | 2.5 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : fmt-10.2.1-5.fc40.x86_64 1/6 Installing : libdnf5-5.1.17-4.fc40.x86_64 2/6 Installing : libdnf5-cli-5.1.17-4.fc40.x86_64 3/6 Installing : sdbus-cpp-1.4.0-2.fc40.x86_64 4/6 Installing : dnf5-5.1.17-4.fc40.x86_64 5/6 Installing : dnf5-plugins-5.1.17-4.fc40.x86_64 6/6 Running scriptlet: dnf5-plugins-5.1.17-4.fc40.x86_64 6/6 Installed: dnf5-5.1.17-4.fc40.x86_64 dnf5-plugins-5.1.17-4.fc40.x86_64 fmt-10.2.1-5.fc40.x86_64 libdnf5-5.1.17-4.fc40.x86_64 libdnf5-cli-5.1.17-4.fc40.x86_64 sdbus-cpp-1.4.0-2.fc40.x86_64 Complete! INFO: Switching package manager from dnf4 to the dnf5 (direct choice) Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-1746949322.608794/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.x86_64 rpm-sequoia-1.7.0-5.fc40.x86_64 python3-dnf-4.23.0-1.fc40.1.noarch yum-4.23.0-1.fc40.1.noarch dnf5-5.1.17-4.fc40.x86_64 dnf5-plugins-5.1.17-4.fc40.x86_64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: updates 100% | 51.4 MiB/s | 14.2 MiB | 00m00s fedora 100% | 35.1 MiB/s | 20.6 MiB | 00m01s Copr repository 100% | 4.2 MiB/s | 132.2 KiB | 00m00s Additional repo copr_rezso_ML 100% | 3.9 MiB/s | 121.0 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 1.1 MiB/s | 28.9 KiB | 00m00s Additional repo http_developer_downloa 100% | 23.5 MiB/s | 1.1 MiB | 00m00s Additional repo http_developer_downloa 100% | 15.8 MiB/s | 727.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 12.7 MiB/s | 585.8 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash x86_64 5.2.26-3.fc40 fedora 8.1 MiB bzip2 x86_64 1.0.8-18.fc40 fedora 91.7 KiB coreutils x86_64 9.4-9.fc40 updates 5.8 MiB cpio x86_64 2.15-1.fc40 fedora 1.1 MiB diffutils x86_64 3.10-5.fc40 fedora 1.6 MiB fedora-release-common noarch 40-40 updates 19.2 KiB findutils x86_64 1:4.9.0-9.fc40 updates 1.5 MiB gawk x86_64 5.3.0-3.fc40 fedora 1.7 MiB glibc-minimal-langpack x86_64 2.39-38.fc40 updates 0.0 B grep x86_64 3.11-7.fc40 fedora 1.0 MiB gzip x86_64 1.13-1.fc40 fedora 385.0 KiB info x86_64 7.1-2.fc40 fedora 357.8 KiB patch x86_64 2.7.6-24.fc40 fedora 262.8 KiB redhat-rpm-config noarch 289-1.fc40 updates 185.3 KiB rpm-build x86_64 4.19.1.1-1.fc40 fedora 173.7 KiB sed x86_64 4.9-1.fc40 fedora 861.5 KiB shadow-utils x86_64 2:4.15.1-4.fc40 updates 4.1 MiB tar x86_64 2:1.35-3.fc40 fedora 2.9 MiB unzip x86_64 6.0-63.fc40 fedora 382.8 KiB util-linux x86_64 2.40.2-1.fc40 updates 3.7 MiB which x86_64 2.21-41.fc40 fedora 80.2 KiB xz x86_64 1:5.8.1-2.fc40 updates 1.3 MiB Installing dependencies: alternatives x86_64 1.27-1.fc40 updates 66.3 KiB ansible-srpm-macros noarch 1-16.fc40 updates 35.7 KiB audit-libs x86_64 4.0.3-1.fc40 updates 347.4 KiB authselect x86_64 1.5.0-6.fc40 updates 153.6 KiB authselect-libs x86_64 1.5.0-6.fc40 updates 818.2 KiB basesystem noarch 11-20.fc40 fedora 0.0 B binutils x86_64 2.41-38.fc40 updates 26.4 MiB binutils-gold x86_64 2.41-38.fc40 updates 2.0 MiB bzip2-libs x86_64 1.0.8-18.fc40 fedora 80.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-1.0.fc40 updates 2.4 MiB coreutils-common x86_64 9.4-9.fc40 updates 11.4 MiB cracklib x86_64 2.9.11-5.fc40 fedora 238.9 KiB crypto-policies noarch 20241011-1.git5930b9a.fc40 updates 158.0 KiB curl x86_64 8.6.0-10.fc40 updates 738.6 KiB cyrus-sasl-lib x86_64 2.1.28-19.fc40 fedora 2.3 MiB debugedit x86_64 5.0-18.fc40 updates 199.3 KiB dwz x86_64 0.15-8.fc40 updates 294.9 KiB ed x86_64 1.20.2-1.fc40 updates 146.9 KiB efi-srpm-macros noarch 5-11.fc40 fedora 40.1 KiB elfutils x86_64 0.192-9.fc40 updates 2.7 MiB elfutils-debuginfod-client x86_64 0.192-9.fc40 updates 80.2 KiB elfutils-default-yama-scope noarch 0.192-9.fc40 updates 1.8 KiB elfutils-libelf x86_64 0.192-9.fc40 updates 1.2 MiB elfutils-libs x86_64 0.192-9.fc40 updates 670.3 KiB fedora-gpg-keys noarch 40-2 updates 124.7 KiB fedora-release noarch 40-40 updates 0.0 B fedora-release-identity-basic noarch 40-40 updates 654.0 B fedora-repos noarch 40-2 updates 4.9 KiB file x86_64 5.45-4.fc40 fedora 103.5 KiB file-libs x86_64 5.45-4.fc40 fedora 9.9 MiB filesystem x86_64 3.18-8.fc40 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-14.fc40 fedora 55.3 KiB forge-srpm-macros noarch 0.4.0-1.fc40 updates 38.9 KiB fpc-srpm-macros noarch 1.3-12.fc40 fedora 144.0 B gdb-minimal x86_64 16.2-1.fc40 updates 13.3 MiB gdbm x86_64 1:1.23-6.fc40 fedora 460.9 KiB gdbm-libs x86_64 1:1.23-6.fc40 fedora 121.9 KiB ghc-srpm-macros noarch 1.9.1-1.fc40 updates 747.0 B glibc x86_64 2.39-38.fc40 updates 6.6 MiB glibc-common x86_64 2.39-38.fc40 updates 1.0 MiB glibc-gconv-extra x86_64 2.39-38.fc40 updates 7.8 MiB gmp x86_64 1:6.2.1-8.fc40 fedora 794.6 KiB gnat-srpm-macros noarch 6-5.fc40 fedora 1.0 KiB go-srpm-macros noarch 3.5.0-1.fc40 fedora 60.6 KiB jansson x86_64 2.13.1-9.fc40 fedora 88.3 KiB json-c x86_64 0.17-3.fc40 fedora 82.4 KiB kernel-srpm-macros noarch 1.0-23.fc40 fedora 1.9 KiB keyutils-libs x86_64 1.6.3-3.fc40 fedora 54.4 KiB krb5-libs x86_64 1.21.3-3.fc40 updates 2.3 MiB libacl x86_64 2.3.2-1.fc40 fedora 40.0 KiB libarchive x86_64 3.7.2-7.fc40 updates 922.6 KiB libattr x86_64 2.5.2-3.fc40 fedora 28.5 KiB libblkid x86_64 2.40.2-1.fc40 updates 258.6 KiB libbrotli x86_64 1.1.0-3.fc40 fedora 829.5 KiB libcap x86_64 2.69-8.fc40 updates 219.8 KiB libcap-ng x86_64 0.8.4-4.fc40 fedora 73.1 KiB libcom_err x86_64 1.47.0-5.fc40 fedora 67.2 KiB libcurl x86_64 8.6.0-10.fc40 updates 776.8 KiB libeconf x86_64 0.6.2-2.fc40 updates 58.0 KiB libevent x86_64 2.1.12-12.fc40 fedora 895.6 KiB libfdisk x86_64 2.40.2-1.fc40 updates 362.9 KiB libffi x86_64 3.4.4-7.fc40 fedora 81.6 KiB libgcc x86_64 14.2.1-3.fc40 updates 274.6 KiB libgomp x86_64 14.2.1-3.fc40 updates 523.6 KiB libidn2 x86_64 2.3.8-1.fc40 updates 556.6 KiB libmount x86_64 2.40.2-1.fc40 updates 355.8 KiB libnghttp2 x86_64 1.59.0-3.fc40 updates 166.1 KiB libnsl2 x86_64 2.0.1-1.fc40 fedora 57.9 KiB libpkgconf x86_64 2.1.1-2.fc40 updates 74.2 KiB libpsl x86_64 0.21.5-3.fc40 fedora 80.5 KiB libpwquality x86_64 1.4.5-9.fc40 fedora 417.8 KiB libselinux x86_64 3.7-5.fc40 updates 177.0 KiB libsemanage x86_64 3.7-2.fc40 updates 293.5 KiB libsepol x86_64 3.7-2.fc40 updates 817.9 KiB libsmartcols x86_64 2.40.2-1.fc40 updates 180.4 KiB libssh x86_64 0.10.6-5.fc40 fedora 509.3 KiB libssh-config noarch 0.10.6-5.fc40 fedora 277.0 B libstdc++ x86_64 14.2.1-3.fc40 updates 2.8 MiB libtasn1 x86_64 4.20.0-1.fc40 updates 176.4 KiB libtirpc x86_64 1.3.6-1.rc3.fc40 updates 202.7 KiB libtool-ltdl x86_64 2.4.7-10.fc40 fedora 66.2 KiB libunistring x86_64 1.1-7.fc40 fedora 1.7 MiB libutempter x86_64 1.2.1-13.fc40 fedora 57.7 KiB libuuid x86_64 2.40.2-1.fc40 updates 37.5 KiB libverto x86_64 0.3.2-8.fc40 fedora 29.5 KiB libxcrypt x86_64 4.4.38-7.fc40 updates 288.5 KiB libxml2 x86_64 2.12.10-1.fc40 updates 1.7 MiB libzstd x86_64 1.5.7-1.fc40 updates 804.0 KiB lua-libs x86_64 5.4.6-5.fc40 fedora 281.1 KiB lua-srpm-macros noarch 1-13.fc40 fedora 1.3 KiB lz4-libs x86_64 1.9.4-6.fc40 fedora 129.4 KiB mpfr x86_64 4.2.1-4.fc40 updates 832.0 KiB ncurses-base noarch 6.4-12.20240127.fc40 fedora 326.2 KiB ncurses-libs x86_64 6.4-12.20240127.fc40 fedora 963.2 KiB ocaml-srpm-macros noarch 9-3.fc40 fedora 1.9 KiB openblas-srpm-macros noarch 2-16.fc40 fedora 104.0 B openldap x86_64 2.6.9-1.fc40 updates 641.3 KiB openssl-libs x86_64 1:3.2.4-1.fc40 updates 7.8 MiB p11-kit x86_64 0.25.5-1.fc40 updates 2.2 MiB p11-kit-trust x86_64 0.25.5-1.fc40 updates 391.4 KiB package-notes-srpm-macros noarch 0.5-11.fc40 fedora 1.6 KiB pam x86_64 1.6.1-5.fc40 updates 1.8 MiB pam-libs x86_64 1.6.1-5.fc40 updates 135.0 KiB pcre2 x86_64 10.44-1.fc40 updates 653.5 KiB pcre2-syntax noarch 10.44-1.fc40 updates 251.6 KiB perl-srpm-macros noarch 1-53.fc40 fedora 861.0 B pkgconf x86_64 2.1.1-2.fc40 updates 82.9 KiB pkgconf-m4 noarch 2.1.1-2.fc40 updates 13.9 KiB pkgconf-pkg-config x86_64 2.1.1-2.fc40 updates 989.0 B popt x86_64 1.19-6.fc40 fedora 136.9 KiB publicsuffix-list-dafsa noarch 20250116-1.fc40 updates 68.5 KiB pyproject-srpm-macros noarch 1.18.1-1.fc40 updates 1.9 KiB python-srpm-macros noarch 3.12-8.fc40 updates 50.6 KiB qt5-srpm-macros noarch 5.15.15-1.fc40 updates 500.0 B qt6-srpm-macros noarch 6.8.2-1.fc40 updates 456.0 B readline x86_64 8.2-8.fc40 fedora 489.2 KiB rpm x86_64 4.19.1.1-1.fc40 fedora 3.0 MiB rpm-build-libs x86_64 4.19.1.1-1.fc40 fedora 198.4 KiB rpm-libs x86_64 4.19.1.1-1.fc40 fedora 709.9 KiB rpm-sequoia x86_64 1.7.0-5.fc40 updates 2.4 MiB rust-srpm-macros noarch 26.3-1.fc40 updates 4.8 KiB setup noarch 2.14.5-2.fc40 fedora 720.4 KiB sqlite-libs x86_64 3.45.1-3.fc40 updates 1.5 MiB systemd-libs x86_64 255.18-1.fc40 updates 1.9 MiB util-linux-core x86_64 2.40.2-1.fc40 updates 1.5 MiB xxhash-libs x86_64 0.8.3-1.fc40 updates 90.2 KiB xz-libs x86_64 1:5.8.1-2.fc40 updates 213.9 KiB zig-srpm-macros noarch 1-2.fc40 fedora 1.1 KiB zip x86_64 3.0-40.fc40 fedora 703.2 KiB zlib-ng-compat x86_64 2.1.7-2.fc40 updates 134.0 KiB zstd x86_64 1.5.7-1.fc40 updates 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 153 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation 179 MiB will be used (install 179 MiB, remove 0 B). [ 1/153] bzip2-0:1.0.8-18.fc40.x86_64 100% | 4.6 MiB/s | 52.4 KiB | 00m00s [ 2/153] cpio-0:2.15-1.fc40.x86_64 100% | 20.4 MiB/s | 292.2 KiB | 00m00s [ 3/153] diffutils-0:3.10-5.fc40.x86_6 100% | 99.0 MiB/s | 405.6 KiB | 00m00s [ 4/153] bash-0:5.2.26-3.fc40.x86_64 100% | 95.1 MiB/s | 1.8 MiB | 00m00s [ 5/153] gzip-0:1.13-1.fc40.x86_64 100% | 41.7 MiB/s | 170.6 KiB | 00m00s [ 6/153] grep-0:3.11-7.fc40.x86_64 100% | 36.7 MiB/s | 300.2 KiB | 00m00s [ 7/153] info-0:7.1-2.fc40.x86_64 100% | 44.5 MiB/s | 182.3 KiB | 00m00s [ 8/153] patch-0:2.7.6-24.fc40.x86_64 100% | 31.9 MiB/s | 130.7 KiB | 00m00s [ 9/153] rpm-build-0:4.19.1.1-1.fc40.x 100% | 25.5 MiB/s | 78.2 KiB | 00m00s [ 10/153] sed-0:4.9-1.fc40.x86_64 100% | 62.2 MiB/s | 318.2 KiB | 00m00s [ 11/153] tar-2:1.35-3.fc40.x86_64 100% | 167.3 MiB/s | 856.6 KiB | 00m00s [ 12/153] unzip-0:6.0-63.fc40.x86_64 100% | 36.0 MiB/s | 184.5 KiB | 00m00s [ 13/153] which-0:2.21-41.fc40.x86_64 100% | 20.2 MiB/s | 41.4 KiB | 00m00s [ 14/153] fedora-release-common-0:40-40 100% | 7.0 MiB/s | 21.5 KiB | 00m00s [ 15/153] findutils-1:4.9.0-9.fc40.x86_ 100% | 96.0 MiB/s | 491.4 KiB | 00m00s [ 16/153] coreutils-0:9.4-9.fc40.x86_64 100% | 126.9 MiB/s | 1.1 MiB | 00m00s [ 17/153] glibc-minimal-langpack-0:2.39 100% | 35.3 MiB/s | 72.3 KiB | 00m00s [ 18/153] redhat-rpm-config-0:289-1.fc4 100% | 25.7 MiB/s | 79.0 KiB | 00m00s [ 19/153] gawk-0:5.3.0-3.fc40.x86_64 100% | 97.4 MiB/s | 1.1 MiB | 00m00s [ 20/153] shadow-utils-2:4.15.1-4.fc40. 100% | 94.3 MiB/s | 1.3 MiB | 00m00s [ 21/153] util-linux-0:2.40.2-1.fc40.x8 100% | 92.7 MiB/s | 1.2 MiB | 00m00s [ 22/153] xz-1:5.8.1-2.fc40.x86_64 100% | 46.6 MiB/s | 572.5 KiB | 00m00s [ 23/153] filesystem-0:3.18-8.fc40.x86_ 100% | 217.2 MiB/s | 1.1 MiB | 00m00s [ 24/153] ncurses-libs-0:6.4-12.2024012 100% | 64.9 MiB/s | 332.5 KiB | 00m00s [ 25/153] bzip2-libs-0:1.0.8-18.fc40.x8 100% | 13.3 MiB/s | 40.9 KiB | 00m00s [ 26/153] libattr-0:2.5.2-3.fc40.x86_64 100% | 17.6 MiB/s | 18.0 KiB | 00m00s [ 27/153] file-0:5.45-4.fc40.x86_64 100% | 23.9 MiB/s | 49.0 KiB | 00m00s [ 28/153] popt-0:1.19-6.fc40.x86_64 100% | 21.7 MiB/s | 66.7 KiB | 00m00s [ 29/153] readline-0:8.2-8.fc40.x86_64 100% | 69.4 MiB/s | 213.3 KiB | 00m00s [ 30/153] rpm-0:4.19.1.1-1.fc40.x86_64 100% | 175.8 MiB/s | 540.1 KiB | 00m00s [ 31/153] rpm-build-libs-0:4.19.1.1-1.f 100% | 23.2 MiB/s | 95.0 KiB | 00m00s [ 32/153] rpm-libs-0:4.19.1.1-1.fc40.x8 100% | 75.4 MiB/s | 308.9 KiB | 00m00s [ 33/153] libacl-0:2.3.2-1.fc40.x86_64 100% | 11.9 MiB/s | 24.4 KiB | 00m00s [ 34/153] gmp-1:6.2.1-8.fc40.x86_64 100% | 152.6 MiB/s | 312.5 KiB | 00m00s [ 35/153] efi-srpm-macros-0:5-11.fc40.n 100% | 10.9 MiB/s | 22.3 KiB | 00m00s [ 36/153] glibc-common-0:2.39-38.fc40.x 100% | 58.0 MiB/s | 356.7 KiB | 00m00s [ 37/153] fonts-srpm-macros-1:2.0.5-14. 100% | 8.6 MiB/s | 26.5 KiB | 00m00s [ 38/153] coreutils-common-0:9.4-9.fc40 100% | 179.1 MiB/s | 2.1 MiB | 00m00s [ 39/153] fpc-srpm-macros-0:1.3-12.fc40 100% | 1.9 MiB/s | 7.8 KiB | 00m00s [ 40/153] gnat-srpm-macros-0:6-5.fc40.n 100% | 2.9 MiB/s | 8.8 KiB | 00m00s [ 41/153] go-srpm-macros-0:3.5.0-1.fc40 100% | 9.0 MiB/s | 27.5 KiB | 00m00s [ 42/153] kernel-srpm-macros-0:1.0-23.f 100% | 3.2 MiB/s | 9.7 KiB | 00m00s [ 43/153] lua-srpm-macros-0:1-13.fc40.n 100% | 2.8 MiB/s | 8.7 KiB | 00m00s [ 44/153] ocaml-srpm-macros-0:9-3.fc40. 100% | 4.4 MiB/s | 9.1 KiB | 00m00s [ 45/153] openblas-srpm-macros-0:2-16.f 100% | 2.4 MiB/s | 7.5 KiB | 00m00s [ 46/153] package-notes-srpm-macros-0:0 100% | 3.2 MiB/s | 9.9 KiB | 00m00s [ 47/153] zig-srpm-macros-0:1-2.fc40.no 100% | 3.9 MiB/s | 8.0 KiB | 00m00s [ 48/153] perl-srpm-macros-0:1-53.fc40. 100% | 2.0 MiB/s | 8.4 KiB | 00m00s [ 49/153] zip-0:3.0-40.fc40.x86_64 100% | 64.6 MiB/s | 264.8 KiB | 00m00s [ 50/153] setup-0:2.14.5-2.fc40.noarch 100% | 30.2 MiB/s | 154.7 KiB | 00m00s [ 51/153] util-linux-core-0:2.40.2-1.fc 100% | 104.8 MiB/s | 536.6 KiB | 00m00s [ 52/153] libcap-ng-0:0.8.4-4.fc40.x86_ 100% | 6.4 MiB/s | 32.6 KiB | 00m00s [ 53/153] libutempter-0:1.2.1-13.fc40.x 100% | 12.9 MiB/s | 26.4 KiB | 00m00s [ 54/153] xz-libs-1:5.8.1-2.fc40.x86_64 100% | 55.0 MiB/s | 112.7 KiB | 00m00s [ 55/153] ncurses-base-0:6.4-12.2024012 100% | 43.4 MiB/s | 88.8 KiB | 00m00s [ 56/153] file-libs-0:5.45-4.fc40.x86_6 100% | 149.0 MiB/s | 762.7 KiB | 00m00s [ 57/153] lua-libs-0:5.4.6-5.fc40.x86_6 100% | 32.2 MiB/s | 131.9 KiB | 00m00s [ 58/153] basesystem-0:11-20.fc40.noarc 100% | 3.5 MiB/s | 7.2 KiB | 00m00s [ 59/153] glibc-gconv-extra-0:2.39-38.f 100% | 274.5 MiB/s | 1.6 MiB | 00m00s [ 60/153] libselinux-0:3.7-5.fc40.x86_6 100% | 17.2 MiB/s | 88.0 KiB | 00m00s [ 61/153] libsepol-0:3.7-2.fc40.x86_64 100% | 167.1 MiB/s | 342.2 KiB | 00m00s [ 62/153] libcap-0:2.69-8.fc40.x86_64 100% | 41.8 MiB/s | 85.7 KiB | 00m00s [ 63/153] libzstd-0:1.5.7-1.fc40.x86_64 100% | 153.7 MiB/s | 314.9 KiB | 00m00s [ 64/153] rpm-sequoia-0:1.7.0-5.fc40.x8 100% | 178.2 MiB/s | 912.5 KiB | 00m00s [ 65/153] sqlite-libs-0:3.45.1-3.fc40.x 100% | 179.5 MiB/s | 735.2 KiB | 00m00s [ 66/153] zlib-ng-compat-0:2.1.7-2.fc40 100% | 75.9 MiB/s | 77.7 KiB | 00m00s [ 67/153] elfutils-libelf-0:0.192-9.fc4 100% | 101.8 MiB/s | 208.4 KiB | 00m00s [ 68/153] elfutils-libs-0:0.192-9.fc40. 100% | 51.5 MiB/s | 263.5 KiB | 00m00s [ 69/153] elfutils-0:0.192-9.fc40.x86_6 100% | 133.3 MiB/s | 546.1 KiB | 00m00s [ 70/153] elfutils-debuginfod-client-0: 100% | 22.7 MiB/s | 46.4 KiB | 00m00s [ 71/153] json-c-0:0.17-3.fc40.x86_64 100% | 14.3 MiB/s | 44.0 KiB | 00m00s [ 72/153] libgcc-0:14.2.1-3.fc40.x86_64 100% | 65.1 MiB/s | 133.3 KiB | 00m00s [ 73/153] libgomp-0:14.2.1-3.fc40.x86_6 100% | 115.2 MiB/s | 353.9 KiB | 00m00s [ 74/153] jansson-0:2.13.1-9.fc40.x86_6 100% | 14.4 MiB/s | 44.2 KiB | 00m00s [ 75/153] debugedit-0:5.0-18.fc40.x86_6 100% | 39.1 MiB/s | 80.0 KiB | 00m00s [ 76/153] pkgconf-pkg-config-0:2.1.1-2. 100% | 2.4 MiB/s | 9.8 KiB | 00m00s [ 77/153] pkgconf-0:2.1.1-2.fc40.x86_64 100% | 21.4 MiB/s | 43.7 KiB | 00m00s [ 78/153] binutils-0:2.41-38.fc40.x86_6 100% | 240.0 MiB/s | 6.2 MiB | 00m00s [ 79/153] glibc-0:2.39-38.fc40.x86_64 100% | 34.6 MiB/s | 2.2 MiB | 00m00s [ 80/153] pkgconf-m4-0:2.1.1-2.fc40.noa 100% | 1.1 MiB/s | 14.0 KiB | 00m00s [ 81/153] libpkgconf-0:2.1.1-2.fc40.x86 100% | 9.3 MiB/s | 37.9 KiB | 00m00s [ 82/153] zstd-0:1.5.7-1.fc40.x86_64 100% | 159.1 MiB/s | 488.8 KiB | 00m00s [ 83/153] lz4-libs-0:1.9.4-6.fc40.x86_6 100% | 13.1 MiB/s | 67.2 KiB | 00m00s [ 84/153] curl-0:8.6.0-10.fc40.x86_64 100% | 48.7 MiB/s | 299.2 KiB | 00m00s [ 85/153] libarchive-0:3.7.2-7.fc40.x86 100% | 99.7 MiB/s | 408.5 KiB | 00m00s [ 86/153] ed-0:1.20.2-1.fc40.x86_64 100% | 26.7 MiB/s | 81.9 KiB | 00m00s [ 87/153] pcre2-0:10.44-1.fc40.x86_64 100% | 79.1 MiB/s | 242.9 KiB | 00m00s [ 88/153] pcre2-syntax-0:10.44-1.fc40.n 100% | 73.1 MiB/s | 149.8 KiB | 00m00s [ 89/153] forge-srpm-macros-0:0.4.0-1.f 100% | 9.7 MiB/s | 19.8 KiB | 00m00s [ 90/153] libstdc++-0:14.2.1-3.fc40.x86 100% | 216.4 MiB/s | 886.5 KiB | 00m00s [ 91/153] libblkid-0:2.40.2-1.fc40.x86_ 100% | 24.2 MiB/s | 124.1 KiB | 00m00s [ 92/153] mpfr-0:4.2.1-4.fc40.x86_64 100% | 42.6 MiB/s | 348.9 KiB | 00m00s [ 93/153] libuuid-0:2.40.2-1.fc40.x86_6 100% | 13.9 MiB/s | 28.6 KiB | 00m00s [ 94/153] libmount-0:2.40.2-1.fc40.x86_ 100% | 75.8 MiB/s | 155.2 KiB | 00m00s [ 95/153] libsmartcols-0:2.40.2-1.fc40. 100% | 40.6 MiB/s | 83.2 KiB | 00m00s [ 96/153] libxcrypt-0:4.4.38-7.fc40.x86 100% | 41.6 MiB/s | 127.7 KiB | 00m00s [ 97/153] audit-libs-0:4.0.3-1.fc40.x86 100% | 62.9 MiB/s | 128.9 KiB | 00m00s [ 98/153] authselect-libs-0:1.5.0-6.fc4 100% | 70.9 MiB/s | 217.9 KiB | 00m00s [ 99/153] systemd-libs-0:255.18-1.fc40. 100% | 114.6 MiB/s | 704.3 KiB | 00m00s [100/153] libfdisk-0:2.40.2-1.fc40.x86_ 100% | 51.9 MiB/s | 159.3 KiB | 00m00s [101/153] pam-0:1.6.1-5.fc40.x86_64 100% | 180.5 MiB/s | 554.4 KiB | 00m00s [102/153] authselect-0:1.5.0-6.fc40.x86 100% | 47.4 MiB/s | 145.5 KiB | 00m00s [103/153] pam-libs-0:1.6.1-5.fc40.x86_6 100% | 18.6 MiB/s | 57.2 KiB | 00m00s [104/153] gdbm-libs-1:1.23-6.fc40.x86_6 100% | 27.4 MiB/s | 56.2 KiB | 00m00s [105/153] libnsl2-0:2.0.1-1.fc40.x86_64 100% | 28.9 MiB/s | 29.6 KiB | 00m00s [106/153] libpwquality-0:1.4.5-9.fc40.x 100% | 58.4 MiB/s | 119.7 KiB | 00m00s [107/153] cracklib-0:2.9.11-5.fc40.x86_ 100% | 90.3 MiB/s | 92.5 KiB | 00m00s [108/153] libeconf-0:0.6.2-2.fc40.x86_6 100% | 15.6 MiB/s | 32.0 KiB | 00m00s [109/153] libsemanage-0:3.7-2.fc40.x86_ 100% | 56.7 MiB/s | 116.1 KiB | 00m00s [110/153] ansible-srpm-macros-0:1-16.fc 100% | 20.2 MiB/s | 20.7 KiB | 00m00s [111/153] ghc-srpm-macros-0:1.9.1-1.fc4 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [112/153] dwz-0:0.15-8.fc40.x86_64 100% | 27.1 MiB/s | 138.7 KiB | 00m00s [113/153] openssl-libs-1:3.2.4-1.fc40.x 100% | 231.0 MiB/s | 2.3 MiB | 00m00s [114/153] pyproject-srpm-macros-0:1.18. 100% | 3.4 MiB/s | 13.9 KiB | 00m00s [115/153] python-srpm-macros-0:3.12-8.f 100% | 11.4 MiB/s | 23.4 KiB | 00m00s [116/153] qt5-srpm-macros-0:5.15.15-1.f 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [117/153] rust-srpm-macros-0:26.3-1.fc4 100% | 12.2 MiB/s | 12.5 KiB | 00m00s [118/153] qt6-srpm-macros-0:6.8.2-1.fc4 100% | 3.0 MiB/s | 9.2 KiB | 00m00s [119/153] libtirpc-0:1.3.6-1.rc3.fc40.x 100% | 30.7 MiB/s | 94.2 KiB | 00m00s [120/153] libcom_err-0:1.47.0-5.fc40.x8 100% | 8.3 MiB/s | 25.4 KiB | 00m00s [121/153] gdbm-1:1.23-6.fc40.x86_64 100% | 49.6 MiB/s | 152.5 KiB | 00m00s [122/153] crypto-policies-0:20241011-1. 100% | 96.6 MiB/s | 98.9 KiB | 00m00s [123/153] keyutils-libs-0:1.6.3-3.fc40. 100% | 15.4 MiB/s | 31.5 KiB | 00m00s [124/153] ca-certificates-0:2024.2.69_v 100% | 141.8 MiB/s | 871.2 KiB | 00m00s [125/153] libverto-0:0.3.2-8.fc40.x86_6 100% | 10.0 MiB/s | 20.5 KiB | 00m00s [126/153] krb5-libs-0:1.21.3-3.fc40.x86 100% | 105.8 MiB/s | 758.3 KiB | 00m00s [127/153] fedora-repos-0:40-2.noarch 100% | 4.6 MiB/s | 9.5 KiB | 00m00s [128/153] libxml2-0:2.12.10-1.fc40.x86_ 100% | 95.9 MiB/s | 687.4 KiB | 00m00s [129/153] elfutils-default-yama-scope-0 100% | 4.0 MiB/s | 12.4 KiB | 00m00s [130/153] fedora-gpg-keys-0:40-2.noarch 100% | 32.2 MiB/s | 132.1 KiB | 00m00s [131/153] libffi-0:3.4.4-7.fc40.x86_64 100% | 9.6 MiB/s | 39.4 KiB | 00m00s [132/153] p11-kit-trust-0:0.25.5-1.fc40 100% | 32.2 MiB/s | 132.0 KiB | 00m00s [133/153] p11-kit-0:0.25.5-1.fc40.x86_6 100% | 80.0 MiB/s | 491.2 KiB | 00m00s [134/153] alternatives-0:1.27-1.fc40.x8 100% | 13.3 MiB/s | 40.7 KiB | 00m00s [135/153] libtasn1-0:4.20.0-1.fc40.x86_ 100% | 36.2 MiB/s | 74.2 KiB | 00m00s [136/153] binutils-gold-0:2.41-38.fc40. 100% | 109.1 MiB/s | 782.0 KiB | 00m00s [137/153] fedora-release-0:40-40.noarch 100% | 1.3 MiB/s | 11.0 KiB | 00m00s [138/153] xxhash-libs-0:0.8.3-1.fc40.x8 100% | 12.3 MiB/s | 37.8 KiB | 00m00s [139/153] fedora-release-identity-basic 100% | 3.8 MiB/s | 11.7 KiB | 00m00s [140/153] libcurl-0:8.6.0-10.fc40.x86_6 100% | 84.2 MiB/s | 344.8 KiB | 00m00s [141/153] gdb-minimal-0:16.2-1.fc40.x86 100% | 243.6 MiB/s | 4.4 MiB | 00m00s [142/153] libpsl-0:0.21.5-3.fc40.x86_64 100% | 6.2 MiB/s | 63.9 KiB | 00m00s [143/153] libbrotli-0:1.1.0-3.fc40.x86_ 100% | 27.5 MiB/s | 338.4 KiB | 00m00s [144/153] libssh-0:0.10.6-5.fc40.x86_64 100% | 51.4 MiB/s | 210.6 KiB | 00m00s [145/153] libssh-config-0:0.10.6-5.fc40 100% | 8.8 MiB/s | 9.0 KiB | 00m00s [146/153] libidn2-0:2.3.8-1.fc40.x86_64 100% | 57.0 MiB/s | 175.0 KiB | 00m00s [147/153] libunistring-0:1.1-7.fc40.x86 100% | 133.2 MiB/s | 545.5 KiB | 00m00s [148/153] publicsuffix-list-dafsa-0:202 100% | 19.1 MiB/s | 58.8 KiB | 00m00s [149/153] libnghttp2-0:1.59.0-3.fc40.x8 100% | 73.6 MiB/s | 75.3 KiB | 00m00s [150/153] openldap-0:2.6.9-1.fc40.x86_6 100% | 124.7 MiB/s | 255.3 KiB | 00m00s [151/153] cyrus-sasl-lib-0:2.1.28-19.fc 100% | 192.7 MiB/s | 789.3 KiB | 00m00s [152/153] libtool-ltdl-0:2.4.7-10.fc40. 100% | 17.7 MiB/s | 36.2 KiB | 00m00s [153/153] libevent-0:2.1.12-12.fc40.x86 100% | 62.8 MiB/s | 257.2 KiB | 00m00s -------------------------------------------------------------------------------- [153/153] Total 100% | 111.6 MiB/s | 53.0 MiB | 00m00s Running transaction Importing PGP key 0xA15B79CC: Userid : "Fedora (40) " Fingerprint: 115DF9AEF857853EE8445D0A0727707EA15B79CC From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary The key was successfully imported. [ 1/155] Verify package files 100% | 765.0 B/s | 153.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 >>> Stop pre-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 [ 2/155] Prepare transaction 100% | 3.6 KiB/s | 153.0 B | 00m00s [ 3/155] Installing libgcc-0:14.2.1-3. 100% | 134.9 MiB/s | 276.3 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.2.1-3.fc40.x86_64 >>> Stop post-install scriptlet: libgcc-0:14.2.1-3.fc40.x86_64 [ 4/155] Installing crypto-policies-0: 100% | 37.2 MiB/s | 190.6 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20241011-1.git5930b9a.fc40 >>> Stop post-install scriptlet: crypto-policies-0:20241011-1.git5930b9a.fc40.no [ 5/155] Installing fedora-release-ide 100% | 0.0 B/s | 912.0 B | 00m00s [ 6/155] Installing fedora-gpg-keys-0: 100% | 41.4 MiB/s | 169.7 KiB | 00m00s [ 7/155] Installing fedora-repos-0:40- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 8/155] Installing fedora-release-com 100% | 22.8 MiB/s | 23.4 KiB | 00m00s [ 9/155] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 10/155] Installing setup-0:2.14.5-2.f 100% | 54.5 MiB/s | 725.8 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.14.5-2.fc40.noarch >>> Stop post-install scriptlet: setup-0:2.14.5-2.fc40.noarch [ 11/155] Installing filesystem-0:3.18- 100% | 3.2 MiB/s | 212.4 KiB | 00m00s [ 12/155] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 13/155] Installing publicsuffix-list- 100% | 0.0 B/s | 69.2 KiB | 00m00s [ 14/155] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 15/155] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [ 16/155] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [ 17/155] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [ 18/155] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 19/155] Installing ansible-srpm-macro 100% | 0.0 B/s | 36.2 KiB | 00m00s [ 20/155] Installing pcre2-syntax-0:10. 100% | 248.1 MiB/s | 254.1 KiB | 00m00s [ 21/155] Installing pkgconf-m4-0:2.1.1 100% | 14.0 MiB/s | 14.3 KiB | 00m00s [ 22/155] Installing ncurses-base-0:6.4 100% | 85.8 MiB/s | 351.6 KiB | 00m00s [ 23/155] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 24/155] Installing ncurses-libs-0:6.4 100% | 189.4 MiB/s | 969.7 KiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39-38.fc40.x86_64 >>> Stop pre-install scriptlet: glibc-0:2.39-38.fc40.x86_64 [ 25/155] Installing glibc-0:2.39-38.fc 100% | 195.6 MiB/s | 6.6 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39-38.fc40.x86_64 >>> Stop post-install scriptlet: glibc-0:2.39-38.fc40.x86_64 [ 26/155] Installing bash-0:5.2.26-3.fc 100% | 354.8 MiB/s | 8.2 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.x86_64 >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.x86_64 [ 27/155] Installing glibc-common-0:2.3 100% | 172.7 MiB/s | 1.0 MiB | 00m00s [ 28/155] Installing glibc-gconv-extra- 100% | 213.6 MiB/s | 7.9 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39-38.fc40.x86_64 >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39-38.fc40.x86_64 [ 29/155] Installing zlib-ng-compat-0:2 100% | 131.6 MiB/s | 134.8 KiB | 00m00s [ 30/155] Installing xz-libs-1:5.8.1-2. 100% | 210.0 MiB/s | 215.0 KiB | 00m00s [ 31/155] Installing bzip2-libs-0:1.0.8 100% | 79.9 MiB/s | 81.8 KiB | 00m00s [ 32/155] Installing popt-0:1.19-6.fc40 100% | 70.1 MiB/s | 143.5 KiB | 00m00s [ 33/155] Installing readline-0:8.2-8.f 100% | 239.9 MiB/s | 491.4 KiB | 00m00s [ 34/155] Installing libzstd-0:1.5.7-1. 100% | 262.1 MiB/s | 805.2 KiB | 00m00s [ 35/155] Installing elfutils-libelf-0: 100% | 390.0 MiB/s | 1.2 MiB | 00m00s [ 36/155] Installing libstdc++-0:14.2.1 100% | 345.8 MiB/s | 2.8 MiB | 00m00s [ 37/155] Installing libuuid-0:2.40.2-1 100% | 37.7 MiB/s | 38.6 KiB | 00m00s [ 38/155] Installing libblkid-0:2.40.2- 100% | 253.6 MiB/s | 259.7 KiB | 00m00s [ 39/155] Installing libattr-0:2.5.2-3. 100% | 0.0 B/s | 29.5 KiB | 00m00s [ 40/155] Installing libacl-0:2.3.2-1.f 100% | 0.0 B/s | 40.8 KiB | 00m00s [ 41/155] Installing gmp-1:6.2.1-8.fc40 100% | 259.4 MiB/s | 796.8 KiB | 00m00s [ 42/155] Installing libxcrypt-0:4.4.38 100% | 284.4 MiB/s | 291.2 KiB | 00m00s [ 43/155] Installing lz4-libs-0:1.9.4-6 100% | 127.4 MiB/s | 130.5 KiB | 00m00s [ 44/155] Installing gdbm-libs-1:1.23-6 100% | 120.7 MiB/s | 123.6 KiB | 00m00s [ 45/155] Installing libeconf-0:0.6.2-2 100% | 58.3 MiB/s | 59.6 KiB | 00m00s [ 46/155] Installing mpfr-0:4.2.1-4.fc4 100% | 271.4 MiB/s | 833.7 KiB | 00m00s [ 47/155] Installing gawk-0:5.3.0-3.fc4 100% | 246.8 MiB/s | 1.7 MiB | 00m00s [ 48/155] Installing dwz-0:0.15-8.fc40. 100% | 289.4 MiB/s | 296.3 KiB | 00m00s [ 49/155] Installing unzip-0:6.0-63.fc4 100% | 188.6 MiB/s | 386.3 KiB | 00m00s [ 50/155] Installing file-libs-0:5.45-4 100% | 584.1 MiB/s | 9.9 MiB | 00m00s [ 51/155] Installing file-0:5.45-4.fc40 100% | 102.6 MiB/s | 105.0 KiB | 00m00s [ 52/155] Installing libcap-ng-0:0.8.4- 100% | 73.2 MiB/s | 75.0 KiB | 00m00s [ 53/155] Installing audit-libs-0:4.0.3 100% | 170.6 MiB/s | 349.5 KiB | 00m00s [ 54/155] Installing pam-libs-0:1.6.1-5 100% | 134.2 MiB/s | 137.4 KiB | 00m00s [ 55/155] Installing libcap-0:2.69-8.fc 100% | 109.8 MiB/s | 224.8 KiB | 00m00s [ 56/155] Installing systemd-libs-0:255 100% | 323.1 MiB/s | 1.9 MiB | 00m00s [ 57/155] Installing lua-libs-0:5.4.6-5 100% | 275.7 MiB/s | 282.3 KiB | 00m00s [ 58/155] Installing libsepol-0:3.7-2.f 100% | 266.5 MiB/s | 818.8 KiB | 00m00s [ 59/155] Installing jansson-0:2.13.1-9 100% | 87.6 MiB/s | 89.7 KiB | 00m00s [ 60/155] Installing pcre2-0:10.44-1.fc 100% | 319.8 MiB/s | 654.9 KiB | 00m00s [ 61/155] Installing libselinux-0:3.7-5 100% | 174.1 MiB/s | 178.3 KiB | 00m00s [ 62/155] Installing sed-0:4.9-1.fc40.x 100% | 212.3 MiB/s | 869.7 KiB | 00m00s [ 63/155] Installing grep-0:3.11-7.fc40 100% | 200.7 MiB/s | 1.0 MiB | 00m00s [ 64/155] Installing findutils-1:4.9.0- 100% | 244.3 MiB/s | 1.5 MiB | 00m00s [ 65/155] Installing xz-1:5.8.1-2.fc40. 100% | 222.0 MiB/s | 1.3 MiB | 00m00s [ 66/155] Installing libmount-0:2.40.2- 100% | 348.6 MiB/s | 356.9 KiB | 00m00s [ 67/155] Installing libsmartcols-0:2.4 100% | 177.3 MiB/s | 181.5 KiB | 00m00s [ 68/155] Installing libcom_err-0:1.47. 100% | 0.0 B/s | 68.3 KiB | 00m00s [ 69/155] Installing libffi-0:3.4.4-7.f 100% | 81.0 MiB/s | 83.0 KiB | 00m00s [ 70/155] Installing alternatives-0:1.2 100% | 66.4 MiB/s | 68.0 KiB | 00m00s [ 71/155] Installing libtasn1-0:4.20.0- 100% | 174.0 MiB/s | 178.2 KiB | 00m00s [ 72/155] Installing p11-kit-0:0.25.5-1 100% | 245.3 MiB/s | 2.2 MiB | 00m00s [ 73/155] Installing libunistring-0:1.1 100% | 346.1 MiB/s | 1.7 MiB | 00m00s [ 74/155] Installing libidn2-0:2.3.8-1. 100% | 183.2 MiB/s | 562.7 KiB | 00m00s [ 75/155] Installing libpsl-0:0.21.5-3. 100% | 79.7 MiB/s | 81.6 KiB | 00m00s [ 76/155] Installing p11-kit-trust-0:0. 100% | 42.7 MiB/s | 393.1 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.5-1.fc40.x86_64 >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.5-1.fc40.x86_64 [ 77/155] Installing util-linux-core-0: 100% | 185.7 MiB/s | 1.5 MiB | 00m00s [ 78/155] Installing tar-2:1.35-3.fc40. 100% | 295.1 MiB/s | 3.0 MiB | 00m00s [ 79/155] Installing libsemanage-0:3.7- 100% | 144.2 MiB/s | 295.2 KiB | 00m00s [ 80/155] Installing shadow-utils-2:4.1 100% | 134.7 MiB/s | 4.2 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.x86_64 >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.x86_64 [ 81/155] Installing libutempter-0:1.2. 100% | 58.3 MiB/s | 59.7 KiB | 00m00s [ 82/155] Installing zip-0:3.0-40.fc40. 100% | 230.2 MiB/s | 707.1 KiB | 00m00s [ 83/155] Installing gdbm-1:1.23-6.fc40 100% | 151.6 MiB/s | 465.8 KiB | 00m00s [ 84/155] Installing cyrus-sasl-lib-0:2 100% | 326.2 MiB/s | 2.3 MiB | 00m00s [ 85/155] Installing zstd-0:1.5.7-1.fc4 100% | 342.0 MiB/s | 1.7 MiB | 00m00s [ 86/155] Installing libfdisk-0:2.40.2- 100% | 177.7 MiB/s | 364.0 KiB | 00m00s [ 87/155] Installing bzip2-0:1.0.8-18.f 100% | 93.9 MiB/s | 96.2 KiB | 00m00s [ 88/155] Installing libxml2-0:2.12.10- 100% | 343.3 MiB/s | 1.7 MiB | 00m00s [ 89/155] Installing sqlite-libs-0:3.45 100% | 296.8 MiB/s | 1.5 MiB | 00m00s [ 90/155] Installing ed-0:1.20.2-1.fc40 100% | 145.7 MiB/s | 149.2 KiB | 00m00s [ 91/155] Installing patch-0:2.7.6-24.f 100% | 258.1 MiB/s | 264.3 KiB | 00m00s [ 92/155] Installing elfutils-default-y 100% | 340.5 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.192-9.fc40.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.192-9.fc40.noar [ 93/155] Installing cpio-0:2.15-1.fc40 100% | 219.9 MiB/s | 1.1 MiB | 00m00s [ 94/155] Installing diffutils-0:3.10-5 100% | 264.4 MiB/s | 1.6 MiB | 00m00s [ 95/155] Installing json-c-0:0.17-3.fc 100% | 81.7 MiB/s | 83.6 KiB | 00m00s [ 96/155] Installing libgomp-0:14.2.1-3 100% | 256.3 MiB/s | 525.0 KiB | 00m00s [ 97/155] Installing libpkgconf-0:2.1.1 100% | 73.5 MiB/s | 75.3 KiB | 00m00s [ 98/155] Installing pkgconf-0:2.1.1-2. 100% | 83.4 MiB/s | 85.4 KiB | 00m00s [ 99/155] Installing pkgconf-pkg-config 100% | 0.0 B/s | 1.8 KiB | 00m00s [100/155] Installing keyutils-libs-0:1. 100% | 54.5 MiB/s | 55.8 KiB | 00m00s [101/155] Installing libverto-0:0.3.2-8 100% | 30.5 MiB/s | 31.3 KiB | 00m00s [102/155] Installing xxhash-libs-0:0.8. 100% | 89.5 MiB/s | 91.6 KiB | 00m00s [103/155] Installing libbrotli-0:1.1.0- 100% | 270.8 MiB/s | 831.8 KiB | 00m00s [104/155] Installing libnghttp2-0:1.59. 100% | 163.3 MiB/s | 167.2 KiB | 00m00s [105/155] Installing libtool-ltdl-0:2.4 100% | 65.7 MiB/s | 67.3 KiB | 00m00s [106/155] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [107/155] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [108/155] Installing openblas-srpm-macr 100% | 0.0 B/s | 384.0 B | 00m00s [109/155] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [110/155] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [111/155] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [112/155] Installing fpc-srpm-macros-0: 100% | 410.2 KiB/s | 420.0 B | 00m00s [113/155] Installing coreutils-common-0 100% | 358.2 MiB/s | 11.5 MiB | 00m00s [114/155] Installing openssl-libs-1:3.2 100% | 355.7 MiB/s | 7.8 MiB | 00m00s [115/155] Installing coreutils-0:9.4-9. 100% | 233.1 MiB/s | 5.8 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc40 >>> Stop pre-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc40.no [116/155] Installing ca-certificates-0: 100% | 3.4 MiB/s | 2.4 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc4 >>> Stop post-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc40.n [117/155] Installing krb5-libs-0:1.21.3 100% | 256.3 MiB/s | 2.3 MiB | 00m00s [118/155] Installing libtirpc-0:1.3.6-1 100% | 199.7 MiB/s | 204.5 KiB | 00m00s [119/155] Installing gzip-0:1.13-1.fc40 100% | 190.7 MiB/s | 390.6 KiB | 00m00s [120/155] Installing authselect-libs-0: 100% | 162.7 MiB/s | 833.2 KiB | 00m00s [121/155] Installing libarchive-0:3.7.2 100% | 301.0 MiB/s | 924.5 KiB | 00m00s [122/155] Installing authselect-0:1.5.0 100% | 77.1 MiB/s | 157.9 KiB | 00m00s [123/155] Installing cracklib-0:2.9.11- 100% | 61.1 MiB/s | 250.3 KiB | 00m00s [124/155] Installing libpwquality-0:1.4 100% | 105.0 MiB/s | 430.1 KiB | 00m00s [125/155] Installing libnsl2-0:2.0.1-1. 100% | 57.7 MiB/s | 59.0 KiB | 00m00s [126/155] Installing pam-0:1.6.1-5.fc40 100% | 139.9 MiB/s | 1.8 MiB | 00m00s [127/155] Installing libssh-0:0.10.6-5. 100% | 249.7 MiB/s | 511.4 KiB | 00m00s [128/155] Installing rpm-sequoia-0:1.7. 100% | 345.0 MiB/s | 2.4 MiB | 00m00s [129/155] Installing rpm-libs-0:4.19.1. 100% | 231.6 MiB/s | 711.4 KiB | 00m00s [130/155] Installing libevent-0:2.1.12- 100% | 292.8 MiB/s | 899.4 KiB | 00m00s [131/155] Installing openldap-0:2.6.9-1 100% | 210.0 MiB/s | 645.1 KiB | 00m00s [132/155] Installing libcurl-0:8.6.0-10 100% | 253.2 MiB/s | 777.9 KiB | 00m00s [133/155] Installing elfutils-libs-0:0. 100% | 218.8 MiB/s | 672.2 KiB | 00m00s [134/155] Installing elfutils-debuginfo 100% | 40.3 MiB/s | 82.5 KiB | 00m00s [135/155] Installing binutils-0:2.41-38 100% | 330.9 MiB/s | 26.5 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.41-38.fc40.x86_64 >>> Stop post-install scriptlet: binutils-0:2.41-38.fc40.x86_64 [136/155] Installing binutils-gold-0:2. 100% | 146.2 MiB/s | 2.0 MiB | 00m00s >>> Running post-install scriptlet: binutils-gold-0:2.41-38.fc40.x86_64 >>> Stop post-install scriptlet: binutils-gold-0:2.41-38.fc40.x86_64 [137/155] Installing elfutils-0:0.192-9 100% | 295.5 MiB/s | 2.7 MiB | 00m00s [138/155] Installing gdb-minimal-0:16.2 100% | 340.0 MiB/s | 13.3 MiB | 00m00s [139/155] Installing debugedit-0:5.0-18 100% | 197.3 MiB/s | 202.0 KiB | 00m00s [140/155] Installing rpm-build-libs-0:4 100% | 194.5 MiB/s | 199.2 KiB | 00m00s [141/155] Installing curl-0:8.6.0-10.fc 100% | 60.3 MiB/s | 740.9 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 >>> Stop pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 [142/155] Installing rpm-0:4.19.1.1-1.f 100% | 159.8 MiB/s | 2.4 MiB | 00m00s [143/155] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [144/155] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [145/155] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [146/155] Installing fonts-srpm-macros- 100% | 55.1 MiB/s | 56.5 KiB | 00m00s [147/155] Installing go-srpm-macros-0:3 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [148/155] Installing forge-srpm-macros- 100% | 0.0 B/s | 40.3 KiB | 00m00s [149/155] Installing python-srpm-macros 100% | 50.6 MiB/s | 51.8 KiB | 00m00s [150/155] Installing redhat-rpm-config- 100% | 93.8 MiB/s | 192.0 KiB | 00m00s [151/155] Installing rpm-build-0:4.19.1 100% | 88.8 MiB/s | 182.0 KiB | 00m00s [152/155] Installing pyproject-srpm-mac 100% | 2.4 MiB/s | 2.5 KiB | 00m00s [153/155] Installing util-linux-0:2.40. 100% | 144.2 MiB/s | 3.7 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.2-1.fc40.x86_64 >>> Stop post-install scriptlet: util-linux-0:2.40.2-1.fc40.x86_64 [154/155] Installing which-0:2.21-41.fc 100% | 80.5 MiB/s | 82.4 KiB | 00m00s [155/155] Installing info-0:7.1-2.fc40. 100% | 382.7 KiB/s | 358.2 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 >>> Stop post-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 >>> Running post-transaction scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0 >>> Stop post-transaction scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-6.fc40.x86_64 >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-6.fc40.x86_64 >>> Running post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 >>> Stop post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 >>> Running trigger-install scriptlet: glibc-common-0:2.39-38.fc40.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39-38.fc40.x86_64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.27-1.fc40.x86_64 ansible-srpm-macros-1-16.fc40.noarch audit-libs-4.0.3-1.fc40.x86_64 authselect-1.5.0-6.fc40.x86_64 authselect-libs-1.5.0-6.fc40.x86_64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.x86_64 binutils-2.41-38.fc40.x86_64 binutils-gold-2.41-38.fc40.x86_64 bzip2-1.0.8-18.fc40.x86_64 bzip2-libs-1.0.8-18.fc40.x86_64 ca-certificates-2024.2.69_v8.0.401-1.0.fc40.noarch coreutils-9.4-9.fc40.x86_64 coreutils-common-9.4-9.fc40.x86_64 cpio-2.15-1.fc40.x86_64 cracklib-2.9.11-5.fc40.x86_64 crypto-policies-20241011-1.git5930b9a.fc40.noarch curl-8.6.0-10.fc40.x86_64 cyrus-sasl-lib-2.1.28-19.fc40.x86_64 debugedit-5.0-18.fc40.x86_64 diffutils-3.10-5.fc40.x86_64 dwz-0.15-8.fc40.x86_64 ed-1.20.2-1.fc40.x86_64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.192-9.fc40.x86_64 elfutils-debuginfod-client-0.192-9.fc40.x86_64 elfutils-default-yama-scope-0.192-9.fc40.noarch elfutils-libelf-0.192-9.fc40.x86_64 elfutils-libs-0.192-9.fc40.x86_64 fedora-gpg-keys-40-2.noarch fedora-release-40-40.noarch fedora-release-common-40-40.noarch fedora-release-identity-basic-40-40.noarch fedora-repos-40-2.noarch file-5.45-4.fc40.x86_64 file-libs-5.45-4.fc40.x86_64 filesystem-3.18-8.fc40.x86_64 findutils-4.9.0-9.fc40.x86_64 fonts-srpm-macros-2.0.5-14.fc40.noarch forge-srpm-macros-0.4.0-1.fc40.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.x86_64 gdb-minimal-16.2-1.fc40.x86_64 gdbm-1.23-6.fc40.x86_64 gdbm-libs-1.23-6.fc40.x86_64 ghc-srpm-macros-1.9.1-1.fc40.noarch glibc-2.39-38.fc40.x86_64 glibc-common-2.39-38.fc40.x86_64 glibc-gconv-extra-2.39-38.fc40.x86_64 glibc-minimal-langpack-2.39-38.fc40.x86_64 gmp-6.2.1-8.fc40.x86_64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.5.0-1.fc40.noarch gpg-pubkey-a15b79cc-63d04c2c grep-3.11-7.fc40.x86_64 gzip-1.13-1.fc40.x86_64 info-7.1-2.fc40.x86_64 jansson-2.13.1-9.fc40.x86_64 json-c-0.17-3.fc40.x86_64 kernel-srpm-macros-1.0-23.fc40.noarch keyutils-libs-1.6.3-3.fc40.x86_64 krb5-libs-1.21.3-3.fc40.x86_64 libacl-2.3.2-1.fc40.x86_64 libarchive-3.7.2-7.fc40.x86_64 libattr-2.5.2-3.fc40.x86_64 libblkid-2.40.2-1.fc40.x86_64 libbrotli-1.1.0-3.fc40.x86_64 libcap-2.69-8.fc40.x86_64 libcap-ng-0.8.4-4.fc40.x86_64 libcom_err-1.47.0-5.fc40.x86_64 libcurl-8.6.0-10.fc40.x86_64 libeconf-0.6.2-2.fc40.x86_64 libevent-2.1.12-12.fc40.x86_64 libfdisk-2.40.2-1.fc40.x86_64 libffi-3.4.4-7.fc40.x86_64 libgcc-14.2.1-3.fc40.x86_64 libgomp-14.2.1-3.fc40.x86_64 libidn2-2.3.8-1.fc40.x86_64 libmount-2.40.2-1.fc40.x86_64 libnghttp2-1.59.0-3.fc40.x86_64 libnsl2-2.0.1-1.fc40.x86_64 libpkgconf-2.1.1-2.fc40.x86_64 libpsl-0.21.5-3.fc40.x86_64 libpwquality-1.4.5-9.fc40.x86_64 libselinux-3.7-5.fc40.x86_64 libsemanage-3.7-2.fc40.x86_64 libsepol-3.7-2.fc40.x86_64 libsmartcols-2.40.2-1.fc40.x86_64 libssh-0.10.6-5.fc40.x86_64 libssh-config-0.10.6-5.fc40.noarch libstdc++-14.2.1-3.fc40.x86_64 libtasn1-4.20.0-1.fc40.x86_64 libtirpc-1.3.6-1.rc3.fc40.x86_64 libtool-ltdl-2.4.7-10.fc40.x86_64 libunistring-1.1-7.fc40.x86_64 libutempter-1.2.1-13.fc40.x86_64 libuuid-2.40.2-1.fc40.x86_64 libverto-0.3.2-8.fc40.x86_64 libxcrypt-4.4.38-7.fc40.x86_64 libxml2-2.12.10-1.fc40.x86_64 libzstd-1.5.7-1.fc40.x86_64 lua-libs-5.4.6-5.fc40.x86_64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.x86_64 mpfr-4.2.1-4.fc40.x86_64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.x86_64 ocaml-srpm-macros-9-3.fc40.noarch openblas-srpm-macros-2-16.fc40.noarch openldap-2.6.9-1.fc40.x86_64 openssl-libs-3.2.4-1.fc40.x86_64 p11-kit-0.25.5-1.fc40.x86_64 p11-kit-trust-0.25.5-1.fc40.x86_64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-5.fc40.x86_64 pam-libs-1.6.1-5.fc40.x86_64 patch-2.7.6-24.fc40.x86_64 pcre2-10.44-1.fc40.x86_64 pcre2-syntax-10.44-1.fc40.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-2.fc40.x86_64 pkgconf-m4-2.1.1-2.fc40.noarch pkgconf-pkg-config-2.1.1-2.fc40.x86_64 popt-1.19-6.fc40.x86_64 publicsuffix-list-dafsa-20250116-1.fc40.noarch pyproject-srpm-macros-1.18.1-1.fc40.noarch python-srpm-macros-3.12-8.fc40.noarch qt5-srpm-macros-5.15.15-1.fc40.noarch qt6-srpm-macros-6.8.2-1.fc40.noarch readline-8.2-8.fc40.x86_64 redhat-rpm-config-289-1.fc40.noarch rpm-4.19.1.1-1.fc40.x86_64 rpm-build-4.19.1.1-1.fc40.x86_64 rpm-build-libs-4.19.1.1-1.fc40.x86_64 rpm-libs-4.19.1.1-1.fc40.x86_64 rpm-sequoia-1.7.0-5.fc40.x86_64 rust-srpm-macros-26.3-1.fc40.noarch sed-4.9-1.fc40.x86_64 setup-2.14.5-2.fc40.noarch shadow-utils-4.15.1-4.fc40.x86_64 sqlite-libs-3.45.1-3.fc40.x86_64 systemd-libs-255.18-1.fc40.x86_64 tar-1.35-3.fc40.x86_64 unzip-6.0-63.fc40.x86_64 util-linux-2.40.2-1.fc40.x86_64 util-linux-core-2.40.2-1.fc40.x86_64 which-2.21-41.fc40.x86_64 xxhash-libs-0.8.3-1.fc40.x86_64 xz-5.8.1-2.fc40.x86_64 xz-libs-5.8.1-2.fc40.x86_64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.x86_64 zlib-ng-compat-2.1.7-2.fc40.x86_64 zstd-1.5.7-1.fc40.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/yosys-0.53-20250510.0.git69008181.fc40.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-x86_64-1746949322.608794/root/var/log/dnf5.log INFO: chroot_scan: creating tarball /var/lib/copr-rpmbuild/results/chroot_scan.tar.gz /bin/tar: Removing leading `/' from member names Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-j2o5blsi/yosys/yosys.spec) Config(child) 0 minutes 31 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.53-20250510.0.git69008181.fc40.src.rpm) Config(fedora-40-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-bootstrap-1746949322.608794/root. INFO: reusing tmpfs at /var/lib/mock/fedora-40-x86_64-bootstrap-1746949322.608794/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-1746949322.608794/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.x86_64 rpm-sequoia-1.7.0-5.fc40.x86_64 python3-dnf-4.23.0-1.fc40.1.noarch yum-4.23.0-1.fc40.1.noarch dnf5-5.1.17-4.fc40.x86_64 dnf5-plugins-5.1.17-4.fc40.x86_64 Finish: chroot init Start: build phase for yosys-0.53-20250510.0.git69008181.fc40.src.rpm Start: build setup for yosys-0.53-20250510.0.git69008181.fc40.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/yosys-0.53-20250510.0.git69008181.fc40.src.rpm Updating and loading repositories: updates 100% | 255.9 KiB/s | 13.8 KiB | 00m00s fedora 100% | 1.1 MiB/s | 28.9 KiB | 00m00s Copr repository 100% | 109.2 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_ML 100% | 117.6 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 95.1 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 183.5 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 217.8 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 204.8 KiB/s | 3.5 KiB | 00m00s Repositories loaded. Package "pkgconf-pkg-config-2.1.1-2.fc40.x86_64" is already installed. Package Arch Version Repository Size Installing: abc x86_64 1.02-20250505.0.git49d9252f.fc40 copr_base 28.9 KiB bison x86_64 3.8.2-7.fc40 fedora 3.5 MiB flex x86_64 2.6.4-16.fc40 fedora 805.4 KiB gcc-c++ x86_64 14.2.1-3.fc40 updates 38.2 MiB git x86_64 2.49.0-1.fc40 updates 85.3 KiB graphviz x86_64 9.0.0-11.fc40 fedora 21.1 MiB iverilog x86_64 13.0-20250217.0.git99580cd0.fc40 copr_base 6.6 MiB libffi-devel x86_64 3.4.4-7.fc40 fedora 33.3 KiB python3 x86_64 3.12.10-2.fc40 updates 31.5 KiB readline-devel x86_64 8.2-8.fc40 fedora 553.0 KiB tcl-devel x86_64 1:8.6.13-3.fc40 updates 808.9 KiB txt2man noarch 1.7.1-6.fc40 fedora 47.1 KiB Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 fedora 192.7 KiB abc-libs x86_64 1.02-20250505.0.git49d9252f.fc40 copr_base 17.4 MiB adobe-mappings-cmap noarch 20231115-1.fc40 updates 15.2 MiB adobe-mappings-cmap-deprecated noarch 20231115-1.fc40 updates 582.1 KiB adobe-mappings-pdf noarch 20190401-7.fc40 fedora 4.4 MiB annobin-docs noarch 12.60-1.fc40 updates 96.2 KiB annobin-plugin-gcc x86_64 12.60-1.fc40 updates 976.2 KiB avahi-libs x86_64 0.8-26.fc40 fedora 166.3 KiB cairo x86_64 1.18.0-3.fc40 fedora 1.7 MiB cairo-gobject x86_64 1.18.0-3.fc40 fedora 35.2 KiB cmake-filesystem x86_64 3.30.8-1.fc40 updates 0.0 B cpp x86_64 14.2.1-3.fc40 updates 35.0 MiB cpuinfo x86_64 23.2.14-3.giteb4a667.fc40.2 fedora 114.4 KiB crypto-policies-scripts noarch 20241011-1.git5930b9a.fc40 updates 353.5 KiB cups-filesystem noarch 1:2.4.12-2.fc40 updates 0.0 B cups-libs x86_64 1:2.4.12-2.fc40 updates 622.9 KiB dbus-libs x86_64 1:1.14.10-3.fc40 fedora 368.9 KiB default-fonts-core-sans noarch 4.0-13.fc40 updates 11.9 KiB expat x86_64 2.7.1-1.fc40 updates 294.3 KiB fontconfig x86_64 2.15.0-6.fc40 updates 768.0 KiB fonts-filesystem noarch 1:2.0.5-14.fc40 fedora 0.0 B freetype x86_64 2.13.2-5.fc40 fedora 842.6 KiB fribidi x86_64 1.0.14-2.fc40 updates 367.6 KiB gc x86_64 8.2.2-6.fc40 fedora 258.7 KiB gcc x86_64 14.2.1-3.fc40 updates 104.3 MiB gcc-plugin-annobin x86_64 14.2.1-3.fc40 updates 57.1 KiB gd x86_64 2.3.3-16.fc40 fedora 399.7 KiB gdk-pixbuf2 x86_64 2.42.10-8.fc40 fedora 2.5 MiB git-core x86_64 2.49.0-1.fc40 updates 22.8 MiB git-core-doc noarch 2.49.0-1.fc40 updates 17.6 MiB glib2 x86_64 2.80.5-1.fc40 updates 14.7 MiB glibc-devel x86_64 2.39-38.fc40 updates 2.2 MiB gnupg2 x86_64 2.4.4-1.fc40 fedora 9.5 MiB gnutls x86_64 3.8.9-1.fc40 updates 3.2 MiB google-droid-sans-fonts noarch 20200215-19.fc40 fedora 6.3 MiB google-noto-fonts-common noarch 20240301-2.fc40 fedora 17.5 KiB google-noto-sans-vf-fonts noarch 20240301-2.fc40 fedora 1.2 MiB gpgme x86_64 1.23.2-3.fc40 fedora 575.3 KiB gpgmepp x86_64 1.23.2-3.fc40 fedora 424.2 KiB graphite2 x86_64 1.3.14-15.fc40 fedora 192.0 KiB groff-base x86_64 1.23.0-6.fc40 fedora 3.8 MiB gts x86_64 0.7.6-48.20121130.fc40 fedora 650.3 KiB guile30 x86_64 3.0.7-12.fc40 fedora 51.5 MiB harfbuzz x86_64 8.5.0-1.fc40 updates 2.7 MiB highway x86_64 1.2.0-2.fc40 updates 4.5 MiB jbig2dec-libs x86_64 0.20-4.fc40 fedora 169.0 KiB jbigkit-libs x86_64 2.1-29.fc40 fedora 117.6 KiB kernel-headers x86_64 6.14.3-100.fc40 updates 6.5 MiB lasi x86_64 1.1.3-13.fc40 fedora 130.8 KiB lcms2 x86_64 2.16-3.fc40 fedora 420.9 KiB less x86_64 643-6.fc40 updates 372.6 KiB libICE x86_64 1.1.1-3.fc40 fedora 181.2 KiB libSM x86_64 1.2.4-3.fc40 fedora 97.3 KiB libX11 x86_64 1.8.10-2.fc40 updates 1.3 MiB libX11-common noarch 1.8.10-2.fc40 updates 1.1 MiB libXau x86_64 1.0.11-6.fc40 fedora 66.9 KiB libXext x86_64 1.3.6-1.fc40 fedora 90.1 KiB libXft x86_64 2.3.8-6.fc40 fedora 164.5 KiB libXpm x86_64 3.5.17-3.fc40 fedora 148.4 KiB libXrender x86_64 0.9.11-6.fc40 fedora 50.1 KiB libXt x86_64 1.3.0-3.fc40 fedora 425.9 KiB libaom x86_64 3.12.0-1.fc40 updates 5.0 MiB libassuan x86_64 2.5.7-1.fc40 fedora 163.8 KiB libavif x86_64 1.0.4-3.fc40 updates 183.8 KiB libb2 x86_64 0.98.1-11.fc40 fedora 42.2 KiB libcbor x86_64 0.11.0-1.fc40 fedora 73.9 KiB libdatrie x86_64 0.2.13-9.fc40 fedora 57.9 KiB libdav1d x86_64 1.5.1-1.fc40 updates 1.7 MiB libedit x86_64 3.1-54.20250104cvs.fc40 updates 244.2 KiB libfido2 x86_64 1.14.0-4.fc40 fedora 237.8 KiB libgcrypt x86_64 1.10.3-3.fc40 fedora 1.3 MiB libgpg-error x86_64 1.49-1.fc40 updates 875.2 KiB libgs x86_64 10.02.1-14.fc40 updates 23.3 MiB libijs x86_64 0.35-22.fc40 fedora 61.6 KiB libimagequant x86_64 4.0.3-5.fc40 updates 666.8 KiB libjpeg-turbo x86_64 3.0.2-1.fc40 fedora 776.9 KiB libjxl x86_64 1:0.8.4-1.fc40 updates 4.1 MiB libksba x86_64 1.6.6-1.fc40 fedora 392.9 KiB liblerc x86_64 4.0.0-6.fc40 fedora 603.5 KiB libmpc x86_64 1.3.1-5.fc40 fedora 164.7 KiB libpaper x86_64 1:2.1.1-3.fc40 fedora 48.8 KiB libpng x86_64 2:1.6.40-3.fc40 fedora 241.8 KiB librsvg2 x86_64 2.57.1-7.fc40 updates 4.1 MiB libstdc++-devel x86_64 14.2.1-3.fc40 updates 15.4 MiB libthai x86_64 0.1.29-8.fc40 fedora 783.5 KiB libtiff x86_64 4.6.0-5.fc40.1 updates 1.1 MiB libvmaf x86_64 2.3.0-7.fc40 fedora 779.6 KiB libwebp x86_64 1.5.0-1.fc40 updates 820.0 KiB libxcb x86_64 1.17.0-2.fc40 updates 1.1 MiB libxcrypt-devel x86_64 4.4.38-7.fc40 updates 30.8 KiB m4 x86_64 1.4.19-9.fc40 fedora 588.9 KiB make x86_64 1:4.4.1-6.fc40 fedora 1.8 MiB mpdecimal x86_64 2.5.1-9.fc40 fedora 200.9 KiB ncurses x86_64 6.4-12.20240127.fc40 fedora 621.0 KiB ncurses-c++-libs x86_64 6.4-12.20240127.fc40 fedora 161.7 KiB ncurses-devel x86_64 6.4-12.20240127.fc40 fedora 859.8 KiB netpbm x86_64 11.10.00-1.fc40 updates 577.8 KiB nettle x86_64 3.9.1-6.fc40 fedora 790.1 KiB npth x86_64 1.7-1.fc40 fedora 49.6 KiB nspr x86_64 4.36.0-6.fc40 updates 316.4 KiB nss x86_64 3.110.0-2.fc40 updates 1.9 MiB nss-softokn x86_64 3.110.0-2.fc40 updates 1.9 MiB nss-softokn-freebl x86_64 3.110.0-2.fc40 updates 873.2 KiB nss-sysinit x86_64 3.110.0-2.fc40 updates 18.2 KiB nss-util x86_64 3.110.0-2.fc40 updates 230.2 KiB openjpeg2 x86_64 2.5.3-1.fc40 updates 451.9 KiB openssh x86_64 9.6p1-2.fc40 updates 1.8 MiB openssh-clients x86_64 9.6p1-2.fc40 updates 2.6 MiB pango x86_64 1.54.0-1.fc40 updates 996.2 KiB perl-AutoLoader noarch 5.74-508.fc40 updates 20.5 KiB perl-B x86_64 1.88-508.fc40 updates 492.4 KiB perl-Carp noarch 1.54-502.fc40 fedora 46.5 KiB perl-Class-Struct noarch 0.68-508.fc40 updates 25.4 KiB perl-Data-Dumper x86_64 2.188-503.fc40 fedora 111.7 KiB perl-Digest noarch 1.20-502.fc40 fedora 35.2 KiB perl-Digest-MD5 x86_64 2.59-3.fc40 fedora 59.7 KiB perl-DynaLoader x86_64 1.54-508.fc40 updates 32.1 KiB perl-Encode x86_64 4:3.21-505.fc40 fedora 4.7 MiB perl-Errno x86_64 1.37-508.fc40 updates 8.4 KiB perl-Error noarch 1:0.17029-15.fc40 fedora 77.2 KiB perl-Exporter noarch 5.78-3.fc40 fedora 54.2 KiB perl-Fcntl x86_64 1.15-508.fc40 updates 24.6 KiB perl-File-Basename noarch 2.86-508.fc40 updates 14.0 KiB perl-File-Find noarch 1.43-508.fc40 updates 41.9 KiB perl-File-Path noarch 2.18-503.fc40 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 fedora 162.3 KiB perl-File-stat noarch 1.13-508.fc40 updates 12.7 KiB perl-FileHandle noarch 2.05-508.fc40 updates 9.3 KiB perl-Getopt-Long noarch 1:2.57-4.fc40 updates 144.1 KiB perl-Getopt-Std noarch 1.13-508.fc40 updates 11.1 KiB perl-Git noarch 2.49.0-1.fc40 updates 63.9 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 fedora 152.1 KiB perl-IO x86_64 1.52-508.fc40 updates 151.0 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 fedora 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 fedora 685.0 KiB perl-IPC-Open3 noarch 1.22-508.fc40 updates 22.5 KiB perl-MIME-Base64 x86_64 3.16-503.fc40 fedora 46.1 KiB perl-Mozilla-CA noarch 20231213-3.fc40 fedora 9.1 KiB perl-Net-SSLeay x86_64 1.94-3.fc40 fedora 1.3 MiB perl-POSIX x86_64 2.13-508.fc40 updates 233.0 KiB perl-PathTools x86_64 3.89-502.fc40 fedora 179.6 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 fedora 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 fedora 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc40 updates 84.7 KiB perl-Scalar-List-Utils x86_64 5:1.63-503.fc40 fedora 145.5 KiB perl-SelectSaver noarch 1.02-508.fc40 updates 2.2 KiB perl-Socket x86_64 4:2.038-1.fc40 updates 124.0 KiB perl-Storable x86_64 1:3.32-502.fc40 fedora 232.3 KiB perl-Symbol noarch 1.09-508.fc40 updates 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 fedora 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 fedora 29.3 KiB perl-TermReadKey x86_64 2.38-21.fc40 fedora 64.0 KiB perl-Text-ParseWords noarch 3.31-502.fc40 fedora 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc40 fedora 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 fedora 68.9 KiB perl-URI noarch 5.28-1.fc40 updates 240.2 KiB perl-base noarch 2.27-508.fc40 updates 12.5 KiB perl-constant noarch 1.33-503.fc40 fedora 26.2 KiB perl-if noarch 0.61.000-508.fc40 updates 5.8 KiB perl-interpreter x86_64 4:5.38.4-508.fc40 updates 119.9 KiB perl-lib x86_64 0.65-508.fc40 updates 8.5 KiB perl-libnet noarch 3.15-503.fc40 fedora 289.0 KiB perl-libs x86_64 4:5.38.4-508.fc40 updates 9.8 MiB perl-locale noarch 1.10-508.fc40 updates 6.2 KiB perl-mro x86_64 1.28-508.fc40 updates 41.6 KiB perl-overload noarch 1.37-508.fc40 updates 71.5 KiB perl-overloading noarch 0.02-508.fc40 updates 4.8 KiB perl-parent noarch 1:0.241-502.fc40 fedora 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 fedora 308.1 KiB perl-vars noarch 1.05-508.fc40 updates 3.9 KiB pixman x86_64 0.43.4-1.fc40 updates 710.1 KiB poppler x86_64 24.02.0-2.fc40 fedora 3.5 MiB poppler-data noarch 0.4.11-7.fc40 fedora 12.3 MiB poppler-glib x86_64 24.02.0-2.fc40 fedora 575.1 KiB python-pip-wheel noarch 23.3.2-2.fc40 updates 1.5 MiB python3-libs x86_64 3.12.10-2.fc40 updates 41.3 MiB rav1e-libs x86_64 0.7.1-4.fc40 updates 3.0 MiB rsvg-pixbuf-loader x86_64 2.57.1-7.fc40 updates 15.5 KiB shared-mime-info x86_64 2.3-5.fc40 updates 5.2 MiB svt-av1-libs x86_64 2.3.0-1.fc40 updates 5.6 MiB tcl x86_64 1:8.6.13-3.fc40 updates 4.2 MiB tpm2-tss x86_64 4.1.3-1.fc40 updates 1.5 MiB tzdata noarch 2025b-1.fc40 updates 1.6 MiB urw-base35-bookman-fonts noarch 20200910-20.fc40 updates 1.4 MiB urw-base35-c059-fonts noarch 20200910-20.fc40 updates 1.4 MiB urw-base35-d050000l-fonts noarch 20200910-20.fc40 updates 84.3 KiB urw-base35-fonts noarch 20200910-20.fc40 updates 5.3 KiB urw-base35-fonts-common noarch 20200910-20.fc40 updates 37.4 KiB urw-base35-gothic-fonts noarch 20200910-20.fc40 updates 1.2 MiB urw-base35-nimbus-mono-ps-fonts noarch 20200910-20.fc40 updates 1.0 MiB urw-base35-nimbus-roman-fonts noarch 20200910-20.fc40 updates 1.4 MiB urw-base35-nimbus-sans-fonts noarch 20200910-20.fc40 updates 2.4 MiB urw-base35-p052-fonts noarch 20200910-20.fc40 updates 1.5 MiB urw-base35-standard-symbols-ps-fonts noarch 20200910-20.fc40 updates 64.9 KiB urw-base35-z003-fonts noarch 20200910-20.fc40 updates 390.8 KiB xml-common noarch 0.6.3-63.fc40 fedora 78.4 KiB zlib-ng-compat-devel x86_64 2.1.7-2.fc40 updates 106.8 KiB Transaction Summary: Installing: 210 packages Total size of inbound packages is 173 MiB. Need to download 173 MiB. After this operation 606 MiB will be used (install 606 MiB, remove 0 B). [ 1/210] flex-0:2.6.4-16.fc40.x86_64 100% | 17.2 MiB/s | 298.7 KiB | 00m00s [ 2/210] bison-0:3.8.2-7.fc40.x86_64 100% | 44.4 MiB/s | 1.0 MiB | 00m00s [ 3/210] txt2man-0:1.7.1-6.fc40.noarch 100% | 1.2 MiB/s | 28.0 KiB | 00m00s [ 4/210] git-0:2.49.0-1.fc40.x86_64 100% | 8.3 MiB/s | 50.9 KiB | 00m00s [ 5/210] abc-0:1.02-20250505.0.git49d9 100% | 991.3 KiB/s | 18.8 KiB | 00m00s [ 6/210] graphviz-0:9.0.0-11.fc40.x86_ 100% | 261.3 MiB/s | 5.0 MiB | 00m00s [ 7/210] libffi-devel-0:3.4.4-7.fc40.x 100% | 6.9 MiB/s | 28.2 KiB | 00m00s [ 8/210] python3-0:3.12.10-2.fc40.x86_ 100% | 5.5 MiB/s | 28.0 KiB | 00m00s [ 9/210] readline-devel-0:8.2-8.fc40.x 100% | 15.6 MiB/s | 207.1 KiB | 00m00s [ 10/210] gcc-c++-0:14.2.1-3.fc40.x86_6 100% | 199.7 MiB/s | 14.2 MiB | 00m00s [ 11/210] tcl-devel-1:8.6.13-3.fc40.x86 100% | 7.2 MiB/s | 169.2 KiB | 00m00s [ 12/210] m4-0:1.4.19-9.fc40.x86_64 100% | 19.9 MiB/s | 305.4 KiB | 00m00s [ 13/210] gcc-0:14.2.1-3.fc40.x86_64 100% | 339.1 MiB/s | 37.0 MiB | 00m00s [ 14/210] libmpc-0:1.3.1-5.fc40.x86_64 100% | 34.7 MiB/s | 71.1 KiB | 00m00s [ 15/210] abc-libs-0:1.02-20250505.0.gi 100% | 42.9 MiB/s | 5.6 MiB | 00m00s [ 16/210] git-core-0:2.49.0-1.fc40.x86_ 100% | 219.7 MiB/s | 4.8 MiB | 00m00s [ 17/210] perl-Git-0:2.49.0-1.fc40.noar 100% | 12.2 MiB/s | 37.6 KiB | 00m00s [ 18/210] git-core-doc-0:2.49.0-1.fc40. 100% | 121.2 MiB/s | 3.0 MiB | 00m00s [ 19/210] perl-PathTools-0:3.89-502.fc4 100% | 17.1 MiB/s | 87.4 KiB | 00m00s [ 20/210] perl-TermReadKey-0:2.38-21.fc 100% | 17.3 MiB/s | 35.3 KiB | 00m00s [ 21/210] freetype-0:2.13.2-5.fc40.x86_ 100% | 200.1 MiB/s | 409.7 KiB | 00m00s [ 22/210] cairo-0:1.18.0-3.fc40.x86_64 100% | 98.9 MiB/s | 708.9 KiB | 00m00s [ 23/210] gd-0:2.3.3-16.fc40.x86_64 100% | 44.2 MiB/s | 135.7 KiB | 00m00s [ 24/210] gdk-pixbuf2-0:2.42.10-8.fc40. 100% | 157.8 MiB/s | 484.7 KiB | 00m00s [ 25/210] gts-0:0.7.6-48.20121130.fc40. 100% | 47.2 MiB/s | 241.8 KiB | 00m00s [ 26/210] lasi-0:1.1.3-13.fc40.x86_64 100% | 27.0 MiB/s | 55.4 KiB | 00m00s [ 27/210] libXrender-0:0.9.11-6.fc40.x8 100% | 13.4 MiB/s | 27.4 KiB | 00m00s [ 28/210] poppler-glib-0:24.02.0-2.fc40 100% | 61.8 MiB/s | 190.0 KiB | 00m00s [ 29/210] python3-libs-0:3.12.10-2.fc40 100% | 233.6 MiB/s | 9.1 MiB | 00m00s [ 30/210] iverilog-0:13.0-20250217.0.gi 100% | 7.7 MiB/s | 2.2 MiB | 00m00s [ 31/210] perl-Error-1:0.17029-15.fc40. 100% | 19.7 MiB/s | 40.4 KiB | 00m00s [ 32/210] make-1:4.4.1-6.fc40.x86_64 100% | 47.8 MiB/s | 587.6 KiB | 00m00s [ 33/210] perl-Exporter-0:5.78-3.fc40.n 100% | 10.0 MiB/s | 30.8 KiB | 00m00s [ 34/210] perl-constant-0:1.33-503.fc40 100% | 11.1 MiB/s | 22.8 KiB | 00m00s [ 35/210] perl-Carp-0:1.54-502.fc40.noa 100% | 14.0 MiB/s | 28.7 KiB | 00m00s [ 36/210] perl-Scalar-List-Utils-5:1.63 100% | 23.7 MiB/s | 72.9 KiB | 00m00s [ 37/210] libXext-0:1.3.6-1.fc40.x86_64 100% | 12.7 MiB/s | 38.9 KiB | 00m00s [ 38/210] libpng-2:1.6.40-3.fc40.x86_64 100% | 58.6 MiB/s | 119.9 KiB | 00m00s [ 39/210] libXpm-0:3.5.17-3.fc40.x86_64 100% | 21.4 MiB/s | 65.7 KiB | 00m00s [ 40/210] cpp-0:14.2.1-3.fc40.x86_64 100% | 149.4 MiB/s | 12.0 MiB | 00m00s [ 41/210] libjpeg-turbo-0:3.0.2-1.fc40. 100% | 11.1 MiB/s | 226.7 KiB | 00m00s [ 42/210] libb2-0:0.98.1-11.fc40.x86_64 100% | 8.3 MiB/s | 25.5 KiB | 00m00s [ 43/210] mpdecimal-0:2.5.1-9.fc40.x86_ 100% | 28.8 MiB/s | 88.6 KiB | 00m00s [ 44/210] poppler-0:24.02.0-2.fc40.x86_ 100% | 47.7 MiB/s | 1.2 MiB | 00m00s [ 45/210] gpgmepp-0:1.23.2-3.fc40.x86_6 100% | 45.1 MiB/s | 138.6 KiB | 00m00s [ 46/210] lcms2-0:2.16-3.fc40.x86_64 100% | 44.0 MiB/s | 180.2 KiB | 00m00s [ 47/210] gc-0:8.2.2-6.fc40.x86_64 100% | 13.5 MiB/s | 110.2 KiB | 00m00s [ 48/210] poppler-data-0:0.4.11-7.fc40. 100% | 126.2 MiB/s | 2.0 MiB | 00m00s [ 49/210] gpgme-0:1.23.2-3.fc40.x86_64 100% | 41.2 MiB/s | 210.9 KiB | 00m00s [ 50/210] libassuan-0:2.5.7-1.fc40.x86_ 100% | 16.3 MiB/s | 66.9 KiB | 00m00s [ 51/210] libgcrypt-0:1.10.3-3.fc40.x86 100% | 54.7 MiB/s | 504.1 KiB | 00m00s [ 52/210] gnupg2-0:2.4.4-1.fc40.x86_64 100% | 121.8 MiB/s | 2.7 MiB | 00m00s [ 53/210] libksba-0:1.6.6-1.fc40.x86_64 100% | 12.9 MiB/s | 158.7 KiB | 00m00s [ 54/210] npth-0:1.7-1.fc40.x86_64 100% | 4.1 MiB/s | 25.0 KiB | 00m00s [ 55/210] guile30-0:3.0.7-12.fc40.x86_6 100% | 129.3 MiB/s | 8.1 MiB | 00m00s [ 56/210] gcc-plugin-annobin-0:14.2.1-3 100% | 3.0 MiB/s | 55.1 KiB | 00m00s [ 57/210] ncurses-c++-libs-0:6.4-12.202 100% | 7.4 MiB/s | 37.9 KiB | 00m00s [ 58/210] ncurses-devel-0:6.4-12.202401 100% | 30.5 MiB/s | 562.3 KiB | 00m00s [ 59/210] fontconfig-0:2.15.0-6.fc40.x8 100% | 65.9 MiB/s | 269.8 KiB | 00m00s [ 60/210] fonts-filesystem-1:2.0.5-14.f 100% | 4.0 MiB/s | 8.2 KiB | 00m00s [ 61/210] xml-common-0:0.6.3-63.fc40.no 100% | 10.1 MiB/s | 31.0 KiB | 00m00s [ 62/210] libtiff-0:4.6.0-5.fc40.1.x86_ 100% | 55.1 MiB/s | 338.7 KiB | 00m00s [ 63/210] jbigkit-libs-0:2.1-29.fc40.x8 100% | 10.4 MiB/s | 53.1 KiB | 00m00s [ 64/210] liblerc-0:4.0.0-6.fc40.x86_64 100% | 68.4 MiB/s | 210.1 KiB | 00m00s [ 65/210] nspr-0:4.36.0-6.fc40.x86_64 100% | 66.9 MiB/s | 137.0 KiB | 00m00s [ 66/210] glib2-0:2.80.5-1.fc40.x86_64 100% | 143.8 MiB/s | 3.0 MiB | 00m00s [ 67/210] nss-softokn-0:3.110.0-2.fc40. 100% | 134.7 MiB/s | 413.9 KiB | 00m00s [ 68/210] nss-0:3.110.0-2.fc40.x86_64 100% | 77.2 MiB/s | 711.3 KiB | 00m00s [ 69/210] nss-util-0:3.110.0-2.fc40.x86 100% | 43.2 MiB/s | 88.5 KiB | 00m00s [ 70/210] nss-softokn-freebl-0:3.110.0- 100% | 108.3 MiB/s | 332.8 KiB | 00m00s [ 71/210] crypto-policies-scripts-0:202 100% | 9.3 MiB/s | 123.7 KiB | 00m00s [ 72/210] nss-sysinit-0:3.110.0-2.fc40. 100% | 9.1 MiB/s | 18.7 KiB | 00m00s [ 73/210] openjpeg2-0:2.5.3-1.fc40.x86_ 100% | 92.2 MiB/s | 188.8 KiB | 00m00s [ 74/210] perl-interpreter-4:5.38.4-508 100% | 23.1 MiB/s | 71.0 KiB | 00m00s [ 75/210] perl-Errno-0:1.37-508.fc40.x8 100% | 2.3 MiB/s | 14.1 KiB | 00m00s [ 76/210] perl-DynaLoader-0:1.54-508.fc 100% | 4.1 MiB/s | 25.2 KiB | 00m00s [ 77/210] perl-vars-0:1.05-508.fc40.noa 100% | 2.4 MiB/s | 12.2 KiB | 00m00s [ 78/210] perl-overload-0:1.37-508.fc40 100% | 4.9 MiB/s | 44.7 KiB | 00m00s [ 79/210] perl-libs-4:5.38.4-508.fc40.x 100% | 96.8 MiB/s | 2.3 MiB | 00m00s [ 80/210] libgpg-error-0:1.49-1.fc40.x8 100% | 17.5 MiB/s | 232.4 KiB | 00m00s [ 81/210] libX11-0:1.8.10-2.fc40.x86_64 100% | 70.5 MiB/s | 649.8 KiB | 00m00s [ 82/210] libX11-common-0:1.8.10-2.fc40 100% | 28.6 MiB/s | 175.8 KiB | 00m00s [ 83/210] pango-0:1.54.0-1.fc40.x86_64 100% | 56.5 MiB/s | 347.3 KiB | 00m00s [ 84/210] libXft-0:2.3.8-6.fc40.x86_64 100% | 35.2 MiB/s | 72.1 KiB | 00m00s [ 85/210] libthai-0:0.1.29-8.fc40.x86_6 100% | 52.2 MiB/s | 213.8 KiB | 00m00s [ 86/210] libdatrie-0:0.2.13-9.fc40.x86 100% | 15.6 MiB/s | 32.0 KiB | 00m00s [ 87/210] netpbm-0:11.10.00-1.fc40.x86_ 100% | 36.4 MiB/s | 186.5 KiB | 00m00s [ 88/210] expat-0:2.7.1-1.fc40.x86_64 100% | 28.3 MiB/s | 116.0 KiB | 00m00s [ 89/210] graphite2-0:1.3.14-15.fc40.x8 100% | 46.3 MiB/s | 94.8 KiB | 00m00s [ 90/210] harfbuzz-0:8.5.0-1.fc40.x86_6 100% | 146.2 MiB/s | 1.0 MiB | 00m00s [ 91/210] adobe-mappings-pdf-0:20190401 100% | 28.3 MiB/s | 695.9 KiB | 00m00s [ 92/210] google-droid-sans-fonts-0:202 100% | 100.3 MiB/s | 2.7 MiB | 00m00s [ 93/210] libgs-0:10.02.1-14.fc40.x86_6 100% | 97.7 MiB/s | 3.4 MiB | 00m00s [ 94/210] jbig2dec-libs-0:0.20-4.fc40.x 100% | 8.0 MiB/s | 73.8 KiB | 00m00s [ 95/210] libXt-0:1.3.0-3.fc40.x86_64 100% | 28.9 MiB/s | 177.5 KiB | 00m00s [ 96/210] libijs-0:0.35-22.fc40.x86_64 100% | 9.5 MiB/s | 29.3 KiB | 00m00s [ 97/210] libpaper-1:2.1.1-3.fc40.x86_6 100% | 13.1 MiB/s | 26.8 KiB | 00m00s [ 98/210] libICE-0:1.1.1-3.fc40.x86_64 100% | 36.4 MiB/s | 74.5 KiB | 00m00s [ 99/210] libSM-0:1.2.4-3.fc40.x86_64 100% | 21.0 MiB/s | 43.0 KiB | 00m00s [100/210] cairo-gobject-0:1.18.0-3.fc40 100% | 4.3 MiB/s | 17.5 KiB | 00m00s [101/210] libwebp-0:1.5.0-1.fc40.x86_64 100% | 57.9 MiB/s | 296.6 KiB | 00m00s [102/210] librsvg2-0:2.57.1-7.fc40.x86_ 100% | 168.9 MiB/s | 1.5 MiB | 00m00s [103/210] urw-base35-fonts-0:20200910-2 100% | 2.0 MiB/s | 10.2 KiB | 00m00s [104/210] urw-base35-fonts-common-0:202 100% | 5.1 MiB/s | 20.9 KiB | 00m00s [105/210] urw-base35-z003-fonts-0:20200 100% | 67.3 MiB/s | 275.6 KiB | 00m00s [106/210] urw-base35-standard-symbols-p 100% | 28.5 MiB/s | 58.4 KiB | 00m00s [107/210] urw-base35-p052-fonts-0:20200 100% | 105.6 MiB/s | 973.4 KiB | 00m00s [108/210] urw-base35-nimbus-sans-fonts- 100% | 145.1 MiB/s | 1.3 MiB | 00m00s [109/210] urw-base35-nimbus-roman-fonts 100% | 83.6 MiB/s | 856.1 KiB | 00m00s [110/210] urw-base35-gothic-fonts-0:202 100% | 156.9 MiB/s | 642.7 KiB | 00m00s [111/210] urw-base35-nimbus-mono-ps-fon 100% | 77.6 MiB/s | 794.8 KiB | 00m00s [112/210] urw-base35-d050000l-fonts-0:2 100% | 10.6 MiB/s | 75.8 KiB | 00m00s [113/210] urw-base35-c059-fonts-0:20200 100% | 94.9 MiB/s | 874.2 KiB | 00m00s [114/210] urw-base35-bookman-fonts-0:20 100% | 137.9 MiB/s | 847.0 KiB | 00m00s [115/210] tpm2-tss-0:4.1.3-1.fc40.x86_6 100% | 67.0 MiB/s | 411.9 KiB | 00m00s [116/210] gnutls-0:3.8.9-1.fc40.x86_64 100% | 66.0 MiB/s | 1.1 MiB | 00m00s [117/210] shared-mime-info-0:2.3-5.fc40 100% | 63.5 MiB/s | 390.4 KiB | 00m00s [118/210] libavif-0:1.0.4-3.fc40.x86_64 100% | 44.4 MiB/s | 91.0 KiB | 00m00s [119/210] cpuinfo-0:23.2.14-3.giteb4a66 100% | 13.7 MiB/s | 42.1 KiB | 00m00s [120/210] nettle-0:3.9.1-6.fc40.x86_64 100% | 19.8 MiB/s | 424.9 KiB | 00m00s [121/210] libimagequant-0:4.0.3-5.fc40. 100% | 49.1 MiB/s | 301.7 KiB | 00m00s [122/210] libxcb-0:1.17.0-2.fc40.x86_64 100% | 46.9 MiB/s | 240.0 KiB | 00m00s [123/210] svt-av1-libs-0:2.3.0-1.fc40.x 100% | 126.0 MiB/s | 2.0 MiB | 00m00s [124/210] libXau-0:1.0.11-6.fc40.x86_64 100% | 3.4 MiB/s | 31.7 KiB | 00m00s [125/210] pixman-0:0.43.4-1.fc40.x86_64 100% | 31.8 MiB/s | 293.2 KiB | 00m00s [126/210] tcl-1:8.6.13-3.fc40.x86_64 100% | 184.0 MiB/s | 1.1 MiB | 00m00s [127/210] zlib-ng-compat-devel-0:2.1.7- 100% | 18.5 MiB/s | 37.9 KiB | 00m00s [128/210] python-pip-wheel-0:23.3.2-2.f 100% | 133.9 MiB/s | 1.5 MiB | 00m00s [129/210] tzdata-0:2025b-1.fc40.noarch 100% | 58.1 MiB/s | 714.2 KiB | 00m00s [130/210] perl-overloading-0:0.02-508.f 100% | 3.9 MiB/s | 12.1 KiB | 00m00s [131/210] perl-mro-0:1.28-508.fc40.x86_ 100% | 2.1 MiB/s | 28.1 KiB | 00m00s [132/210] perl-MIME-Base64-0:3.16-503.f 100% | 7.2 MiB/s | 29.7 KiB | 00m00s [133/210] perl-Encode-4:3.21-505.fc40.x 100% | 132.1 MiB/s | 1.1 MiB | 00m00s [134/210] perl-parent-1:0.241-502.fc40. 100% | 2.9 MiB/s | 14.7 KiB | 00m00s [135/210] fribidi-0:1.0.14-2.fc40.x86_6 100% | 44.8 MiB/s | 91.7 KiB | 00m00s [136/210] rsvg-pixbuf-loader-0:2.57.1-7 100% | 7.7 MiB/s | 15.7 KiB | 00m00s [137/210] adobe-mappings-cmap-deprecate 100% | 27.0 MiB/s | 110.7 KiB | 00m00s [138/210] cups-libs-1:2.4.12-2.fc40.x86 100% | 63.9 MiB/s | 261.9 KiB | 00m00s [139/210] adobe-mappings-cmap-0:2023111 100% | 172.9 MiB/s | 2.2 MiB | 00m00s [140/210] perl-Storable-1:3.32-502.fc40 100% | 3.7 MiB/s | 98.2 KiB | 00m00s [141/210] cups-filesystem-1:2.4.12-2.fc 100% | 3.3 MiB/s | 13.5 KiB | 00m00s [142/210] avahi-libs-0:0.8-26.fc40.x86_ 100% | 13.0 MiB/s | 66.5 KiB | 00m00s [143/210] dbus-libs-1:1.14.10-3.fc40.x8 100% | 50.9 MiB/s | 156.3 KiB | 00m00s [144/210] libvmaf-0:2.3.0-7.fc40.x86_64 100% | 58.6 MiB/s | 180.1 KiB | 00m00s [145/210] libaom-0:3.12.0-1.fc40.x86_64 100% | 183.5 MiB/s | 1.8 MiB | 00m00s [146/210] libdav1d-0:1.5.1-1.fc40.x86_6 100% | 88.6 MiB/s | 635.1 KiB | 00m00s [147/210] rav1e-libs-0:0.7.1-4.fc40.x86 100% | 127.0 MiB/s | 1.0 MiB | 00m00s [148/210] less-0:643-6.fc40.x86_64 100% | 34.1 MiB/s | 174.7 KiB | 00m00s [149/210] libjxl-1:0.8.4-1.fc40.x86_64 100% | 171.1 MiB/s | 1.2 MiB | 00m00s [150/210] openssh-0:9.6p1-2.fc40.x86_64 100% | 82.7 MiB/s | 423.4 KiB | 00m00s [151/210] libfido2-0:1.14.0-4.fc40.x86_ 100% | 31.8 MiB/s | 97.6 KiB | 00m00s [152/210] libcbor-0:0.11.0-1.fc40.x86_6 100% | 10.8 MiB/s | 33.3 KiB | 00m00s [153/210] perl-File-Basename-0:2.86-508 100% | 8.0 MiB/s | 16.3 KiB | 00m00s [154/210] perl-File-Find-0:1.43-508.fc4 100% | 8.0 MiB/s | 24.5 KiB | 00m00s [155/210] perl-Getopt-Long-1:2.57-4.fc4 100% | 20.6 MiB/s | 63.4 KiB | 00m00s [156/210] perl-Text-ParseWords-0:3.31-5 100% | 8.0 MiB/s | 16.3 KiB | 00m00s [157/210] perl-IPC-Open3-0:1.22-508.fc4 100% | 10.3 MiB/s | 21.0 KiB | 00m00s [158/210] perl-lib-0:0.65-508.fc40.x86_ 100% | 13.8 MiB/s | 14.1 KiB | 00m00s [159/210] annobin-plugin-gcc-0:12.60-1. 100% | 117.9 MiB/s | 966.0 KiB | 00m00s [160/210] libstdc++-devel-0:14.2.1-3.fc 100% | 229.5 MiB/s | 2.8 MiB | 00m00s [161/210] openssh-clients-0:9.6p1-2.fc4 100% | 21.4 MiB/s | 744.2 KiB | 00m00s [162/210] annobin-docs-0:12.60-1.fc40.n 100% | 10.9 MiB/s | 89.5 KiB | 00m00s [163/210] default-fonts-core-sans-0:4.0 100% | 15.4 MiB/s | 31.5 KiB | 00m00s [164/210] glibc-devel-0:2.39-38.fc40.x8 100% | 72.2 MiB/s | 591.7 KiB | 00m00s [165/210] libxcrypt-devel-0:4.4.38-7.fc 100% | 9.6 MiB/s | 29.4 KiB | 00m00s [166/210] abattis-cantarell-vf-fonts-0: 100% | 58.8 MiB/s | 120.3 KiB | 00m00s [167/210] google-noto-fonts-common-0:20 100% | 8.4 MiB/s | 17.3 KiB | 00m00s [168/210] perl-Fcntl-0:1.15-508.fc40.x8 100% | 18.9 MiB/s | 19.4 KiB | 00m00s [169/210] perl-IO-0:1.52-508.fc40.x86_6 100% | 39.5 MiB/s | 80.9 KiB | 00m00s [170/210] perl-Getopt-Std-0:1.13-508.fc 100% | 7.2 MiB/s | 14.8 KiB | 00m00s [171/210] cmake-filesystem-0:3.30.8-1.f 100% | 4.1 MiB/s | 16.8 KiB | 00m00s [172/210] perl-POSIX-0:2.13-508.fc40.x8 100% | 31.1 MiB/s | 95.7 KiB | 00m00s [173/210] perl-Symbol-0:1.09-508.fc40.n 100% | 13.1 MiB/s | 13.4 KiB | 00m00s [174/210] perl-File-stat-0:1.13-508.fc4 100% | 8.0 MiB/s | 16.3 KiB | 00m00s [175/210] google-noto-sans-vf-fonts-0:2 100% | 41.4 MiB/s | 593.3 KiB | 00m00s [176/210] perl-SelectSaver-0:1.02-508.f 100% | 5.3 MiB/s | 10.9 KiB | 00m00s [177/210] perl-Socket-4:2.038-1.fc40.x8 100% | 26.8 MiB/s | 54.8 KiB | 00m00s [178/210] perl-base-0:2.27-508.fc40.noa 100% | 7.5 MiB/s | 15.4 KiB | 00m00s [179/210] perl-Class-Struct-0:0.68-508. 100% | 10.4 MiB/s | 21.3 KiB | 00m00s [180/210] libedit-0:3.1-54.20250104cvs. 100% | 34.3 MiB/s | 105.4 KiB | 00m00s [181/210] highway-0:1.2.0-2.fc40.x86_64 100% | 127.4 MiB/s | 652.1 KiB | 00m00s [182/210] perl-locale-0:1.10-508.fc40.n 100% | 4.2 MiB/s | 12.8 KiB | 00m00s [183/210] perl-Pod-Usage-4:2.03-504.fc4 100% | 19.4 MiB/s | 39.8 KiB | 00m00s [184/210] perl-Pod-Perldoc-0:3.28.01-50 100% | 27.9 MiB/s | 85.6 KiB | 00m00s [185/210] kernel-headers-0:6.14.3-100.f 100% | 127.3 MiB/s | 1.7 MiB | 00m00s [186/210] perl-File-Temp-1:0.231.100-50 100% | 57.6 MiB/s | 59.0 KiB | 00m00s [187/210] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 27.1 MiB/s | 55.6 KiB | 00m00s [188/210] groff-base-0:1.23.0-6.fc40.x8 100% | 84.5 MiB/s | 1.1 MiB | 00m00s [189/210] perl-Pod-Simple-1:3.45-6.fc40 100% | 42.7 MiB/s | 218.5 KiB | 00m00s [190/210] perl-Term-ANSIColor-0:5.01-50 100% | 23.2 MiB/s | 47.6 KiB | 00m00s [191/210] perl-Term-Cap-0:1.18-503.fc40 100% | 10.7 MiB/s | 21.9 KiB | 00m00s [192/210] perl-podlators-1:5.01-502.fc4 100% | 6.5 MiB/s | 125.5 KiB | 00m00s [193/210] perl-File-Path-0:2.18-503.fc4 100% | 6.8 MiB/s | 35.0 KiB | 00m00s [194/210] perl-IO-Socket-SSL-0:2.085-1. 100% | 44.7 MiB/s | 228.6 KiB | 00m00s [195/210] perl-Mozilla-CA-0:20231213-3. 100% | 1.5 MiB/s | 13.9 KiB | 00m00s [196/210] perl-Net-SSLeay-0:1.94-3.fc40 100% | 53.7 MiB/s | 384.9 KiB | 00m00s [197/210] perl-Pod-Escapes-1:1.07-503.f 100% | 6.4 MiB/s | 19.6 KiB | 00m00s [198/210] perl-Text-Tabs+Wrap-0:2024.00 100% | 4.2 MiB/s | 21.6 KiB | 00m00s [199/210] perl-Time-Local-2:1.350-5.fc4 100% | 2.8 MiB/s | 34.3 KiB | 00m00s [200/210] ncurses-0:6.4-12.20240127.fc4 100% | 41.1 MiB/s | 421.2 KiB | 00m00s [201/210] perl-IO-Socket-IP-0:0.42-2.fc 100% | 3.4 MiB/s | 41.7 KiB | 00m00s [202/210] perl-if-0:0.61.000-508.fc40.n 100% | 1.3 MiB/s | 13.2 KiB | 00m00s [203/210] perl-AutoLoader-0:5.74-508.fc 100% | 5.0 MiB/s | 20.4 KiB | 00m00s [204/210] perl-URI-0:5.28-1.fc40.noarch 100% | 64.8 MiB/s | 132.8 KiB | 00m00s [205/210] perl-Data-Dumper-0:2.188-503. 100% | 18.2 MiB/s | 56.0 KiB | 00m00s [206/210] perl-libnet-0:3.15-503.fc40.n 100% | 41.8 MiB/s | 128.5 KiB | 00m00s [207/210] perl-Digest-MD5-0:2.59-3.fc40 100% | 17.5 MiB/s | 35.8 KiB | 00m00s [208/210] perl-Digest-0:1.20-502.fc40.n 100% | 6.0 MiB/s | 24.6 KiB | 00m00s [209/210] perl-B-0:1.88-508.fc40.x86_64 100% | 42.8 MiB/s | 175.2 KiB | 00m00s [210/210] perl-FileHandle-0:2.05-508.fc 100% | 4.8 MiB/s | 14.7 KiB | 00m00s -------------------------------------------------------------------------------- [210/210] Total 100% | 146.4 MiB/s | 172.5 MiB | 00m01s Running transaction [ 1/212] Verify package files 100% | 353.0 B/s | 210.0 B | 00m01s [ 2/212] Prepare transaction 100% | 2.3 KiB/s | 210.0 B | 00m00s [ 3/212] Installing libpng-2:1.6.40-3. 100% | 118.7 MiB/s | 243.1 KiB | 00m00s [ 4/212] Installing nspr-0:4.36.0-6.fc 100% | 155.4 MiB/s | 318.2 KiB | 00m00s [ 5/212] Installing libgpg-error-0:1.4 100% | 215.1 MiB/s | 881.1 KiB | 00m00s [ 6/212] Installing fonts-filesystem-1 100% | 0.0 B/s | 788.0 B | 00m00s [ 7/212] Installing urw-base35-fonts-c 100% | 0.0 B/s | 38.4 KiB | 00m00s [ 8/212] Installing libjpeg-turbo-0:3. 100% | 380.2 MiB/s | 778.6 KiB | 00m00s [ 9/212] Installing nss-util-0:3.110.0 100% | 225.7 MiB/s | 231.2 KiB | 00m00s [ 10/212] Installing libwebp-0:1.5.0-1. 100% | 268.3 MiB/s | 824.1 KiB | 00m00s [ 11/212] Installing libassuan-0:2.5.7- 100% | 161.7 MiB/s | 165.6 KiB | 00m00s [ 12/212] Installing expat-0:2.7.1-1.fc 100% | 289.5 MiB/s | 296.4 KiB | 00m00s [ 13/212] Installing libmpc-0:1.3.1-5.f 100% | 162.3 MiB/s | 166.2 KiB | 00m00s [ 14/212] Installing adobe-mappings-cma 100% | 330.2 MiB/s | 15.2 MiB | 00m00s [ 15/212] Installing libICE-0:1.1.1-3.f 100% | 178.3 MiB/s | 182.6 KiB | 00m00s [ 16/212] Installing openjpeg2-0:2.5.3- 100% | 221.6 MiB/s | 453.9 KiB | 00m00s [ 17/212] Installing lcms2-0:2.16-3.fc4 100% | 206.3 MiB/s | 422.5 KiB | 00m00s [ 18/212] Installing m4-0:1.4.19-9.fc40 100% | 145.3 MiB/s | 595.2 KiB | 00m00s [ 19/212] Installing libSM-0:1.2.4-3.fc 100% | 96.3 MiB/s | 98.6 KiB | 00m00s [ 20/212] Installing adobe-mappings-cma 100% | 285.7 MiB/s | 585.2 KiB | 00m00s [ 21/212] Installing cpp-0:14.2.1-3.fc4 100% | 324.0 MiB/s | 35.0 MiB | 00m00s [ 22/212] Installing nss-softokn-freebl 100% | 284.9 MiB/s | 875.3 KiB | 00m00s [ 23/212] Installing nss-softokn-0:3.11 100% | 380.7 MiB/s | 1.9 MiB | 00m00s [ 24/212] Installing urw-base35-z003-fo 100% | 34.8 MiB/s | 391.8 KiB | 00m00s >>> Running post-install scriptlet: urw-base35-z003-fonts-0:20200910-20.fc40.noa >>> Stop post-install scriptlet: urw-base35-z003-fonts-0:20200910-20.fc40.noarch [ 25/212] Installing urw-base35-standar 100% | 10.7 MiB/s | 66.0 KiB | 00m00s >>> Running post-install scriptlet: urw-base35-standard-symbols-ps-fonts-0:20200 >>> Stop post-install scriptlet: urw-base35-standard-symbols-ps-fonts-0:20200910 [ 26/212] Installing urw-base35-p052-fo 100% | 148.7 MiB/s | 1.5 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-p052-fonts-0:20200910-20.fc40.noa >>> Stop post-install scriptlet: urw-base35-p052-fonts-0:20200910-20.fc40.noarch [ 27/212] Installing urw-base35-nimbus- 100% | 199.5 MiB/s | 2.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-nimbus-sans-fonts-0:20200910-20.f >>> Stop post-install scriptlet: urw-base35-nimbus-sans-fonts-0:20200910-20.fc40 [ 28/212] Installing urw-base35-nimbus- 100% | 136.6 MiB/s | 1.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-nimbus-roman-fonts-0:20200910-20. >>> Stop post-install scriptlet: urw-base35-nimbus-roman-fonts-0:20200910-20.fc4 [ 29/212] Installing urw-base35-nimbus- 100% | 116.9 MiB/s | 1.1 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-nimbus-mono-ps-fonts-0:20200910-2 >>> Stop post-install scriptlet: urw-base35-nimbus-mono-ps-fonts-0:20200910-20.f [ 30/212] Installing urw-base35-gothic- 100% | 129.2 MiB/s | 1.2 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-gothic-fonts-0:20200910-20.fc40.n >>> Stop post-install scriptlet: urw-base35-gothic-fonts-0:20200910-20.fc40.noar [ 31/212] Installing urw-base35-d050000 100% | 13.9 MiB/s | 85.4 KiB | 00m00s >>> Running post-install scriptlet: urw-base35-d050000l-fonts-0:20200910-20.fc40 >>> Stop post-install scriptlet: urw-base35-d050000l-fonts-0:20200910-20.fc40.no [ 32/212] Installing urw-base35-c059-fo 100% | 155.0 MiB/s | 1.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-c059-fonts-0:20200910-20.fc40.noa >>> Stop post-install scriptlet: urw-base35-c059-fonts-0:20200910-20.fc40.noarch [ 33/212] Installing urw-base35-bookman 100% | 136.5 MiB/s | 1.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-bookman-fonts-0:20200910-20.fc40. >>> Stop post-install scriptlet: urw-base35-bookman-fonts-0:20200910-20.fc40.noa [ 34/212] Installing urw-base35-fonts-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [ 35/212] Installing abattis-cantarell- 100% | 189.9 MiB/s | 194.4 KiB | 00m00s [ 36/212] Installing libgcrypt-0:1.10.3 100% | 324.0 MiB/s | 1.3 MiB | 00m00s [ 37/212] Installing libksba-0:1.6.6-1. 100% | 193.1 MiB/s | 395.4 KiB | 00m00s [ 38/212] Installing ncurses-0:6.4-12.2 100% | 61.3 MiB/s | 627.6 KiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 [ 39/212] Installing groff-base-0:1.23. 100% | 167.7 MiB/s | 3.9 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 [ 40/212] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 41/212] Installing perl-Digest-MD5-0: 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [ 42/212] Installing perl-B-0:1.88-508. 100% | 242.1 MiB/s | 495.7 KiB | 00m00s [ 43/212] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 44/212] Installing perl-Data-Dumper-0 100% | 110.9 MiB/s | 113.6 KiB | 00m00s [ 45/212] Installing perl-libnet-0:3.15 100% | 143.7 MiB/s | 294.3 KiB | 00m00s [ 46/212] Installing perl-AutoLoader-0: 100% | 0.0 B/s | 20.9 KiB | 00m00s [ 47/212] Installing perl-URI-0:5.28-1. 100% | 82.0 MiB/s | 251.8 KiB | 00m00s [ 48/212] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [ 49/212] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 50/212] Installing perl-Mozilla-CA-0: 100% | 0.0 B/s | 10.2 KiB | 00m00s [ 51/212] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [ 52/212] Installing perl-Pod-Escapes-1 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 53/212] Installing perl-Text-Tabs+Wra 100% | 0.0 B/s | 23.8 KiB | 00m00s [ 54/212] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.4 KiB | 00m00s [ 55/212] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 56/212] Installing perl-Net-SSLeay-0: 100% | 227.1 MiB/s | 1.4 MiB | 00m00s [ 57/212] Installing perl-IO-Socket-SSL 100% | 224.3 MiB/s | 689.0 KiB | 00m00s [ 58/212] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 59/212] Installing perl-IPC-Open3-0:1 100% | 0.0 B/s | 23.3 KiB | 00m00s [ 60/212] Installing perl-POSIX-0:2.13- 100% | 228.8 MiB/s | 234.3 KiB | 00m00s [ 61/212] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [ 62/212] Installing perl-Term-Cap-0:1. 100% | 0.0 B/s | 30.5 KiB | 00m00s [ 63/212] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [ 64/212] Installing perl-Pod-Simple-1: 100% | 278.0 MiB/s | 569.4 KiB | 00m00s [ 65/212] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 66/212] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 67/212] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 68/212] Installing perl-Socket-4:2.03 100% | 123.1 MiB/s | 126.0 KiB | 00m00s [ 69/212] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.2 KiB | 00m00s [ 70/212] Installing perl-podlators-1:5 100% | 152.4 MiB/s | 312.1 KiB | 00m00s [ 71/212] Installing perl-Pod-Perldoc-0 100% | 164.7 MiB/s | 168.6 KiB | 00m00s [ 72/212] Installing perl-mro-0:1.28-50 100% | 41.7 MiB/s | 42.7 KiB | 00m00s [ 73/212] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [ 74/212] Installing perl-Text-ParseWor 100% | 0.0 B/s | 14.5 KiB | 00m00s [ 75/212] Installing perl-Fcntl-0:1.15- 100% | 25.1 MiB/s | 25.7 KiB | 00m00s [ 76/212] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 77/212] Installing perl-IO-0:1.52-508 100% | 151.6 MiB/s | 155.3 KiB | 00m00s [ 78/212] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [ 79/212] Installing perl-constant-0:1. 100% | 0.0 B/s | 27.4 KiB | 00m00s [ 80/212] Installing perl-Scalar-List-U 100% | 145.2 MiB/s | 148.7 KiB | 00m00s [ 81/212] Installing perl-Errno-0:1.37- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 82/212] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 83/212] Installing perl-overload-0:1. 100% | 0.0 B/s | 71.9 KiB | 00m00s [ 84/212] Installing perl-MIME-Base64-0 100% | 47.2 MiB/s | 48.3 KiB | 00m00s [ 85/212] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [ 86/212] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 87/212] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.6 KiB | 00m00s [ 88/212] Installing perl-Storable-1:3. 100% | 228.5 MiB/s | 233.9 KiB | 00m00s [ 89/212] Installing perl-Getopt-Long-1 100% | 143.4 MiB/s | 146.9 KiB | 00m00s [ 90/212] Installing perl-Exporter-0:5. 100% | 0.0 B/s | 55.5 KiB | 00m00s [ 91/212] Installing perl-Carp-0:1.54-5 100% | 0.0 B/s | 47.7 KiB | 00m00s [ 92/212] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 93/212] Installing perl-Encode-4:3.21 100% | 337.0 MiB/s | 4.7 MiB | 00m00s [ 94/212] Installing perl-PathTools-0:3 100% | 59.9 MiB/s | 184.2 KiB | 00m00s [ 95/212] Installing perl-libs-4:5.38.4 100% | 247.7 MiB/s | 9.9 MiB | 00m00s [ 96/212] Installing perl-interpreter-4 100% | 118.7 MiB/s | 121.5 KiB | 00m00s [ 97/212] Installing perl-TermReadKey-0 100% | 64.7 MiB/s | 66.3 KiB | 00m00s [ 98/212] Installing perl-Error-1:0.170 100% | 78.5 MiB/s | 80.4 KiB | 00m00s [ 99/212] Installing perl-File-Find-0:1 100% | 0.0 B/s | 42.4 KiB | 00m00s [100/212] Installing perl-lib-0:0.65-50 100% | 4.3 MiB/s | 8.9 KiB | 00m00s [101/212] Installing kernel-headers-0:6 100% | 185.8 MiB/s | 6.7 MiB | 00m00s [102/212] Installing libxcrypt-devel-0: 100% | 16.2 MiB/s | 33.1 KiB | 00m00s [103/212] Installing glibc-devel-0:2.39 100% | 152.9 MiB/s | 2.3 MiB | 00m00s [104/212] Installing highway-0:1.2.0-2. 100% | 445.9 MiB/s | 4.5 MiB | 00m00s [105/212] Installing libedit-0:3.1-54.2 100% | 240.1 MiB/s | 245.9 KiB | 00m00s [106/212] Installing cmake-filesystem-0 100% | 7.1 MiB/s | 7.3 KiB | 00m00s [107/212] Installing zlib-ng-compat-dev 100% | 105.8 MiB/s | 108.3 KiB | 00m00s [108/212] Installing google-noto-fonts- 100% | 0.0 B/s | 18.3 KiB | 00m00s [109/212] Installing google-noto-sans-v 100% | 249.8 MiB/s | 1.2 MiB | 00m00s [110/212] Installing google-droid-sans- 100% | 329.4 MiB/s | 6.3 MiB | 00m00s [111/212] Installing default-fonts-core 100% | 17.8 MiB/s | 18.2 KiB | 00m00s [112/212] Installing annobin-docs-0:12. 100% | 47.6 MiB/s | 97.4 KiB | 00m00s [113/212] Installing libstdc++-devel-0: 100% | 331.0 MiB/s | 15.6 MiB | 00m00s [114/212] Installing libcbor-0:0.11.0-1 100% | 73.5 MiB/s | 75.3 KiB | 00m00s [115/212] Installing libfido2-0:1.14.0- 100% | 233.7 MiB/s | 239.3 KiB | 00m00s [116/212] Installing openssh-0:9.6p1-2. 100% | 364.8 MiB/s | 1.8 MiB | 00m00s [117/212] Installing openssh-clients-0: 100% | 202.7 MiB/s | 2.6 MiB | 00m00s >>> Running post-install scriptlet: openssh-clients-0:9.6p1-2.fc40.x86_64 >>> Stop post-install scriptlet: openssh-clients-0:9.6p1-2.fc40.x86_64 [118/212] Installing less-0:643-6.fc40. 100% | 122.4 MiB/s | 376.0 KiB | 00m00s [119/212] Installing git-core-0:2.49.0- 100% | 368.1 MiB/s | 22.8 MiB | 00m00s [120/212] Installing git-core-doc-0:2.4 100% | 306.5 MiB/s | 17.8 MiB | 00m00s [121/212] Installing perl-Git-0:2.49.0- 100% | 63.4 MiB/s | 65.0 KiB | 00m00s [122/212] Installing git-0:2.49.0-1.fc4 100% | 85.4 MiB/s | 87.5 KiB | 00m00s [123/212] Installing rav1e-libs-0:0.7.1 100% | 332.6 MiB/s | 3.0 MiB | 00m00s [124/212] Installing libdav1d-0:1.5.1-1 100% | 337.2 MiB/s | 1.7 MiB | 00m00s [125/212] Installing libvmaf-0:2.3.0-7. 100% | 381.3 MiB/s | 780.9 KiB | 00m00s [126/212] Installing dbus-libs-1:1.14.1 100% | 180.7 MiB/s | 370.1 KiB | 00m00s [127/212] Installing avahi-libs-0:0.8-2 100% | 164.9 MiB/s | 168.9 KiB | 00m00s [128/212] Installing cups-filesystem-1: 100% | 0.0 B/s | 1.8 KiB | 00m00s [129/212] Installing fribidi-0:1.0.14-2 100% | 72.3 MiB/s | 370.1 KiB | 00m00s [130/212] Installing tzdata-0:2025b-1.f 100% | 53.1 MiB/s | 1.9 MiB | 00m00s [131/212] Installing python-pip-wheel-0 100% | 381.6 MiB/s | 1.5 MiB | 00m00s [132/212] Installing tcl-1:8.6.13-3.fc4 100% | 281.7 MiB/s | 4.2 MiB | 00m00s [133/212] Installing pixman-0:0.43.4-1. 100% | 231.5 MiB/s | 711.2 KiB | 00m00s [134/212] Installing libXau-0:1.0.11-6. 100% | 66.8 MiB/s | 68.4 KiB | 00m00s [135/212] Installing libxcb-0:1.17.0-2. 100% | 223.6 MiB/s | 1.1 MiB | 00m00s [136/212] Installing libimagequant-0:4. 100% | 217.6 MiB/s | 668.4 KiB | 00m00s [137/212] Installing cpuinfo-0:23.2.14- 100% | 114.0 MiB/s | 116.8 KiB | 00m00s [138/212] Installing svt-av1-libs-0:2.3 100% | 165.0 MiB/s | 5.6 MiB | 00m00s >>> Running pre-install scriptlet: tpm2-tss-0:4.1.3-1.fc40.x86_64 >>> Stop pre-install scriptlet: tpm2-tss-0:4.1.3-1.fc40.x86_64 [139/212] Installing tpm2-tss-0:4.1.3-1 100% | 222.0 MiB/s | 1.6 MiB | 00m00s [140/212] Installing nettle-0:3.9.1-6.f 100% | 258.2 MiB/s | 793.3 KiB | 00m00s [141/212] Installing gnutls-0:3.8.9-1.f 100% | 295.6 MiB/s | 3.3 MiB | 00m00s [142/212] Installing glib2-0:2.80.5-1.f 100% | 341.5 MiB/s | 14.7 MiB | 00m00s [143/212] Installing shared-mime-info-0 100% | 170.4 MiB/s | 2.6 MiB | 00m00s >>> Running post-install scriptlet: shared-mime-info-0:2.3-5.fc40.x86_64 >>> Stop post-install scriptlet: shared-mime-info-0:2.3-5.fc40.x86_64 [144/212] Installing gdk-pixbuf2-0:2.42 100% | 207.5 MiB/s | 2.5 MiB | 00m00s [145/212] Installing libjxl-1:0.8.4-1.f 100% | 376.9 MiB/s | 4.1 MiB | 00m00s [146/212] Installing libaom-0:3.12.0-1. 100% | 356.0 MiB/s | 5.0 MiB | 00m00s [147/212] Installing libavif-0:1.0.4-3. 100% | 180.7 MiB/s | 185.1 KiB | 00m00s [148/212] Installing cups-libs-1:2.4.12 100% | 304.9 MiB/s | 624.4 KiB | 00m00s [149/212] Installing libpaper-1:2.1.1-3 100% | 49.3 MiB/s | 50.5 KiB | 00m00s [150/212] Installing libijs-0:0.35-22.f 100% | 0.0 B/s | 62.6 KiB | 00m00s [151/212] Installing jbig2dec-libs-0:0. 100% | 166.6 MiB/s | 170.6 KiB | 00m00s [152/212] Installing adobe-mappings-pdf 100% | 366.4 MiB/s | 4.4 MiB | 00m00s [153/212] Installing graphite2-0:1.3.14 100% | 189.6 MiB/s | 194.2 KiB | 00m00s [154/212] Installing netpbm-0:11.10.00- 100% | 283.0 MiB/s | 579.6 KiB | 00m00s [155/212] Installing gts-0:0.7.6-48.201 100% | 214.0 MiB/s | 657.4 KiB | 00m00s [156/212] Installing libdatrie-0:0.2.13 100% | 57.7 MiB/s | 59.0 KiB | 00m00s [157/212] Installing libthai-0:0.1.29-8 100% | 255.6 MiB/s | 785.3 KiB | 00m00s [158/212] Installing libX11-common-0:1. 100% | 131.9 MiB/s | 1.2 MiB | 00m00s [159/212] Installing libX11-0:1.8.10-2. 100% | 320.4 MiB/s | 1.3 MiB | 00m00s [160/212] Installing libXrender-0:0.9.1 100% | 50.2 MiB/s | 51.4 KiB | 00m00s [161/212] Installing libXext-0:1.3.6-1. 100% | 89.2 MiB/s | 91.3 KiB | 00m00s [162/212] Installing libXpm-0:3.5.17-3. 100% | 146.3 MiB/s | 149.8 KiB | 00m00s [163/212] Installing libXt-0:1.3.0-3.fc 100% | 208.6 MiB/s | 427.1 KiB | 00m00s [164/212] Installing liblerc-0:4.0.0-6. 100% | 295.4 MiB/s | 605.0 KiB | 00m00s [165/212] Installing jbigkit-libs-0:2.1 100% | 116.8 MiB/s | 119.6 KiB | 00m00s [166/212] Installing libtiff-0:4.6.0-5. 100% | 88.0 MiB/s | 1.1 MiB | 00m00s >>> Running pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch >>> Stop pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch [167/212] Installing xml-common-0:0.6.3 100% | 39.6 MiB/s | 81.1 KiB | 00m00s [168/212] Installing cairo-0:1.18.0-3.f 100% | 288.6 MiB/s | 1.7 MiB | 00m00s [169/212] Installing harfbuzz-0:8.5.0-1 100% | 300.2 MiB/s | 2.7 MiB | 00m00s [170/212] Installing freetype-0:2.13.2- 100% | 206.1 MiB/s | 844.3 KiB | 00m00s [171/212] Installing fontconfig-0:2.15. 100% | 688.1 KiB/s | 787.2 KiB | 00m01s >>> Running post-install scriptlet: fontconfig-0:2.15.0-6.fc40.x86_64 >>> Stop post-install scriptlet: fontconfig-0:2.15.0-6.fc40.x86_64 [172/212] Installing cairo-gobject-0:1. 100% | 35.2 MiB/s | 36.1 KiB | 00m00s [173/212] Installing gd-0:2.3.3-16.fc40 100% | 195.7 MiB/s | 400.8 KiB | 00m00s [174/212] Installing libXft-0:2.3.8-6.f 100% | 162.1 MiB/s | 166.0 KiB | 00m00s [175/212] Installing pango-0:1.54.0-1.f 100% | 195.7 MiB/s | 1.0 MiB | 00m00s [176/212] Installing librsvg2-0:2.57.1- 100% | 316.9 MiB/s | 4.1 MiB | 00m00s [177/212] Installing rsvg-pixbuf-loader 100% | 16.1 MiB/s | 16.5 KiB | 00m00s [178/212] Installing lasi-0:1.1.3-13.fc 100% | 64.6 MiB/s | 132.3 KiB | 00m00s [179/212] Installing libgs-0:10.02.1-14 100% | 457.4 MiB/s | 23.3 MiB | 00m00s [180/212] Installing ncurses-c++-libs-0 100% | 79.5 MiB/s | 162.9 KiB | 00m00s [181/212] Installing ncurses-devel-0:6. 100% | 61.5 MiB/s | 1.0 MiB | 00m00s [182/212] Installing npth-0:1.7-1.fc40. 100% | 49.5 MiB/s | 50.7 KiB | 00m00s [183/212] Installing gnupg2-0:2.4.4-1.f 100% | 317.0 MiB/s | 9.5 MiB | 00m00s [184/212] Installing gpgme-0:1.23.2-3.f 100% | 282.1 MiB/s | 577.7 KiB | 00m00s [185/212] Installing gpgmepp-0:1.23.2-3 100% | 207.7 MiB/s | 425.3 KiB | 00m00s [186/212] Installing gc-0:8.2.2-6.fc40. 100% | 85.0 MiB/s | 261.2 KiB | 00m00s [187/212] Installing guile30-0:3.0.7-12 100% | 396.8 MiB/s | 51.6 MiB | 00m00s [188/212] Installing make-1:4.4.1-6.fc4 100% | 225.0 MiB/s | 1.8 MiB | 00m00s [189/212] Installing gcc-0:14.2.1-3.fc4 100% | 358.7 MiB/s | 104.4 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:289-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:289-1.fc40.noarch [190/212] Installing poppler-data-0:0.4 100% | 334.9 MiB/s | 12.4 MiB | 00m00s [191/212] Installing mpdecimal-0:2.5.1- 100% | 197.3 MiB/s | 202.0 KiB | 00m00s [192/212] Installing libb2-0:0.98.1-11. 100% | 7.0 MiB/s | 43.3 KiB | 00m00s [193/212] Installing python3-libs-0:3.1 100% | 278.0 MiB/s | 41.7 MiB | 00m00s [194/212] Installing python3-0:3.12.10- 100% | 32.5 MiB/s | 33.3 KiB | 00m00s [195/212] Installing crypto-policies-sc 100% | 119.9 MiB/s | 368.5 KiB | 00m00s [196/212] Installing nss-sysinit-0:3.11 100% | 18.9 MiB/s | 19.3 KiB | 00m00s [197/212] Installing nss-0:3.110.0-2.fc 100% | 160.2 MiB/s | 1.9 MiB | 00m00s >>> Running post-install scriptlet: nss-0:3.110.0-2.fc40.x86_64 >>> Stop post-install scriptlet: nss-0:3.110.0-2.fc40.x86_64 [198/212] Installing poppler-0:24.02.0- 100% | 314.7 MiB/s | 3.5 MiB | 00m00s [199/212] Installing poppler-glib-0:24. 100% | 281.3 MiB/s | 576.1 KiB | 00m00s [200/212] Installing abc-libs-0:1.02-20 100% | 311.0 MiB/s | 17.4 MiB | 00m00s [201/212] Installing abc-0:1.02-2025050 100% | 29.4 MiB/s | 30.2 KiB | 00m00s [202/212] Installing graphviz-0:9.0.0-1 100% | 316.0 MiB/s | 21.2 MiB | 00m00s [203/212] Installing gcc-c++-0:14.2.1-3 100% | 326.2 MiB/s | 38.2 MiB | 00m00s [204/212] Installing gcc-plugin-annobin 100% | 4.1 MiB/s | 58.6 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:289-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:289-1.fc40.noarch [205/212] Installing annobin-plugin-gcc 100% | 53.1 MiB/s | 977.9 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:289-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:289-1.fc40.noarch [206/212] Installing readline-devel-0:8 100% | 182.5 MiB/s | 560.5 KiB | 00m00s [207/212] Installing tcl-devel-1:8.6.13 100% | 265.6 MiB/s | 816.0 KiB | 00m00s [208/212] Installing bison-0:3.8.2-7.fc 100% | 292.2 MiB/s | 3.5 MiB | 00m00s [209/212] Installing flex-0:2.6.4-16.fc 100% | 198.1 MiB/s | 811.4 KiB | 00m00s [210/212] Installing libffi-devel-0:3.4 100% | 34.1 MiB/s | 34.9 KiB | 00m00s [211/212] Installing iverilog-0:13.0-20 100% | 276.8 MiB/s | 6.6 MiB | 00m00s [212/212] Installing txt2man-0:1.7.1-6. 100% | 59.2 KiB/s | 48.6 KiB | 00m01s >>> Running post-transaction scriptlet: urw-base35-z003-fonts-0:20200910-20.fc40 >>> Stop post-transaction scriptlet: urw-base35-z003-fonts-0:20200910-20.fc40.no >>> Running post-transaction scriptlet: urw-base35-standard-symbols-ps-fonts-0:2 >>> Stop post-transaction scriptlet: urw-base35-standard-symbols-ps-fonts-0:2020 >>> Running post-transaction scriptlet: urw-base35-p052-fonts-0:20200910-20.fc40 >>> Stop post-transaction scriptlet: urw-base35-p052-fonts-0:20200910-20.fc40.no >>> Running post-transaction scriptlet: urw-base35-nimbus-sans-fonts-0:20200910- >>> Stop post-transaction scriptlet: urw-base35-nimbus-sans-fonts-0:20200910-20. >>> Running post-transaction scriptlet: urw-base35-nimbus-roman-fonts-0:20200910 >>> Stop post-transaction scriptlet: urw-base35-nimbus-roman-fonts-0:20200910-20 >>> Running post-transaction scriptlet: urw-base35-nimbus-mono-ps-fonts-0:202009 >>> Stop post-transaction scriptlet: urw-base35-nimbus-mono-ps-fonts-0:20200910- >>> Running post-transaction scriptlet: urw-base35-gothic-fonts-0:20200910-20.fc >>> Stop post-transaction scriptlet: urw-base35-gothic-fonts-0:20200910-20.fc40. >>> Running post-transaction scriptlet: urw-base35-d050000l-fonts-0:20200910-20. >>> Stop post-transaction scriptlet: urw-base35-d050000l-fonts-0:20200910-20.fc4 >>> Running post-transaction scriptlet: urw-base35-c059-fonts-0:20200910-20.fc40 >>> Stop post-transaction scriptlet: urw-base35-c059-fonts-0:20200910-20.fc40.no >>> Running post-transaction scriptlet: urw-base35-bookman-fonts-0:20200910-20.f >>> Stop post-transaction scriptlet: urw-base35-bookman-fonts-0:20200910-20.fc40 >>> Running post-transaction scriptlet: fontconfig-0:2.15.0-6.fc40.x86_64 >>> Stop post-transaction scriptlet: fontconfig-0:2.15.0-6.fc40.x86_64 >>> Running post-transaction scriptlet: crypto-policies-scripts-0:20241011-1.git >>> Stop post-transaction scriptlet: crypto-policies-scripts-0:20241011-1.git593 >>> Running post-transaction scriptlet: nss-0:3.110.0-2.fc40.x86_64 >>> Stop post-transaction scriptlet: nss-0:3.110.0-2.fc40.x86_64 >>> Running trigger-install scriptlet: glibc-common-0:2.39-38.fc40.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39-38.fc40.x86_64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Running trigger-install scriptlet: glib2-0:2.80.5-1.fc40.x86_64 >>> Stop trigger-install scriptlet: glib2-0:2.80.5-1.fc40.x86_64 >>> Running trigger-install scriptlet: shared-mime-info-0:2.3-5.fc40.x86_64 >>> Stop trigger-install scriptlet: shared-mime-info-0:2.3-5.fc40.x86_64 >>> Running trigger-install scriptlet: gdk-pixbuf2-0:2.42.10-8.fc40.x86_64 >>> Stop trigger-install scriptlet: gdk-pixbuf2-0:2.42.10-8.fc40.x86_64 >>> Running trigger-install scriptlet: fontconfig-0:2.15.0-6.fc40.x86_64 >>> Stop trigger-install scriptlet: fontconfig-0:2.15.0-6.fc40.x86_64 >>> Running trigger-install scriptlet: graphviz-0:9.0.0-11.fc40.x86_64 >>> Stop trigger-install scriptlet: graphviz-0:9.0.0-11.fc40.x86_64 Warning: skipped PGP checks for 3 package(s). Finish: build setup for yosys-0.53-20250510.0.git69008181.fc40.src.rpm Start: rpmbuild yosys-0.53-20250510.0.git69008181.fc40.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.srAI2F + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys + /usr/bin/mkdir -p yosys + cd yosys + rm -rf /builddir/build/BUILD/yosys-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b main https://github.com/YosysHQ/yosys.git . Cloning into '.'... + git fetch --depth 1 origin 6900818105f23cef4111a8ac0aa5e903b63577e8 From https://github.com/YosysHQ/yosys * branch 6900818105f23cef4111a8ac0aa5e903b63577e8 -> FETCH_HEAD + git reset --hard 6900818105f23cef4111a8ac0aa5e903b63577e8 HEAD is now at 6900818 Bump version + git log --format=fuller commit 6900818105f23cef4111a8ac0aa5e903b63577e8 Author: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> AuthorDate: Sat May 10 00:22:55 2025 +0000 Commit: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> CommitDate: Sat May 10 00:22:55 2025 +0000 Bump version + git submodule update --init --depth 1 libs/cxxopts Submodule 'cxxopts' (https://github.com/jarro2783/cxxopts) registered for path 'libs/cxxopts' Cloning into '/builddir/build/BUILD/yosys/libs/cxxopts'... From https://github.com/jarro2783/cxxopts * branch 4bf61f08697b110d9e3991864650a405b3dd515d -> FETCH_HEAD Submodule path 'libs/cxxopts': checked out '4bf61f08697b110d9e3991864650a405b3dd515d' Patch #1 (yosys-cfginc.patch): + echo 'Patch #1 (yosys-cfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=100 patching file Makefile Hunk #1 succeeded at 783 with fuzz 3 (offset -7 lines). + find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' + + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.fki6VP + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys + make config-gcc rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_690081810.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/io.o kernel/gzip.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/cmds/test_select.o passes/cmds/timeest.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/libcache.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/techmap/constmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/opt/peepopt_pm.h passes/pmgen/test_pmgen_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/ice40_dsp_pm.h techlibs/ice40/ice40_wrapcarry_pm.h techlibs/microchip/microchip_dsp_pm.h techlibs/microchip/microchip_dsp_CREG_pm.h techlibs/microchip/microchip_dsp_cascade_pm.h techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v techlibs/xilinx/xilinx_dsp_pm.h techlibs/xilinx/xilinx_dsp48a_pm.h techlibs/xilinx/xilinx_dsp_CREG_pm.h techlibs/xilinx/xilinx_dsp_cascade_pm.h techlibs/xilinx/xilinx_srl_pm.h yosys-abc yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/bitpattern.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/drivertools.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/gzip.h share/include/kernel/hashlib.h share/include/kernel/io.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sexpr.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/choices/han-carlson.v share/choices/sklansky.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra_gw1n.v share/gowin/cells_xtra_gw2a.v share/gowin/cells_xtra_gw5a.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/microchip/arith_map.v share/microchip/cells_map.v share/microchip/cells_sim.v share/microchip/polarfire_dsp_map.v share/microchip/brams_defs.vh share/microchip/LSRAM_map.v share/microchip/LSRAM.txt share/microchip/uSRAM_map.v share/microchip/uSRAM.txt share/nanoxplore/arith_map.v share/nanoxplore/brams_init.vh share/nanoxplore/brams_map.v share/nanoxplore/brams.txt share/nanoxplore/cells_bb.v share/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_u.v share/nanoxplore/cells_map.v share/nanoxplore/cells_sim.v share/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_u.v share/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_u.v share/nanoxplore/io_map.v share/nanoxplore/latches_map.v share/nanoxplore/rf_init.vh share/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_u.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/opt/peepopt_pm.h passes/pmgen/test_pmgen_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk techlibs/ice40/ice40_dsp_pm.h techlibs/ice40/ice40_wrapcarry_pm.h techlibs/microchip/microchip_dsp_pm.h techlibs/microchip/microchip_dsp_CREG_pm.h techlibs/microchip/microchip_dsp_cascade_pm.h techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/xilinx/xilinx_dsp_pm.h techlibs/xilinx/xilinx_dsp48a_pm.h techlibs/xilinx/xilinx_dsp_CREG_pm.h techlibs/xilinx/xilinx_dsp_cascade_pm.h techlibs/xilinx/xilinx_srl_pm.h .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f kernel/python_wrappers.o rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata rm -f tests/arch/anlogic/run-test.mk tests/arch/ecp5/run-test.mk tests/arch/efinix/run-test.mk tests/arch/gatemate/run-test.mk tests/arch/gowin/run-test.mk tests/arch/ice40/run-test.mk tests/arch/intel_alm/run-test.mk tests/arch/machxo2/run-test.mk tests/arch/microchip/run-test.mk tests/arch/nanoxplore/run-test.mk tests/arch/nexus/run-test.mk tests/arch/quicklogic/pp3/run-test.mk tests/arch/quicklogic/qlf_k6n10f/run-test.mk tests/arch/xilinx/run-test.mk tests/opt/run-test.mk tests/sat/run-test.mk tests/sim/run-test.mk tests/svtypes/run-test.mk tests/techmap/run-test.mk tests/various/run-test.mk tests/verilog/run-test.mk make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys/docs' make -C source/code_examples/extensions clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/extensions' rm -f *.d *.so *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/extensions' make -C source/code_examples/fifo clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/fifo' rm -f *.dot rm -f fifo.out fifo.stat make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/fifo' make -C source/code_examples/intro clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/intro' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/intro' make -C source/code_examples/macc clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/macc' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/macc' make -C source/code_examples/opt clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/opt' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/opt' make -C source/code_examples/scrambler clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/scrambler' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/scrambler' make -C source/code_examples/selections clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/selections' rm -rf *.dot rm -f sumprod.out make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/selections' make -C source/code_examples/show clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/show' rm -rf *.dot rm -f example.out make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/show' make -C source/code_examples/stubnets clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log rm -f stubnets.so stubnets.d make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/stubnets' make -C source/code_examples/synth_flow clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/synth_flow' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/synth_flow' make -C source/code_examples/techmap clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/techmap' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated make -C source/_images clean make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/_images' rm -f **/*.log **/*.aux rm -rf code_examples rm -f **/*.pdf **/*.svg make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/_images' make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs' rm -rf docs/source/cmd docs/util/__pycache__ rm -f *.whl rm -f libyosys.so echo 'CONFIG := gcc' > Makefile.conf + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j4 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common g++ -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.53+15 (git sha1 690081810, g++ 14.2.1 -O2 -fexceptions -fstack-protector-strong -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -O3)\"; }" > kernel/version_690081810.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ g++ -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ g++ -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ g++ -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc In file included from ./kernel/yosys.h:42, from kernel/rtlil.cc:20: kernel/rtlil.cc: In member function ‘virtual void Yosys::RTLIL::Module::check()’: kernel/rtlil.cc:2380:70: warning: ‘bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const’ is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 2380 | log_assert(!it.second->get_blackbox_attribute()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/log.h:226:78: note: in definition of macro ‘log_assert’ 226 | # define log_assert(_assert_expr_) YOSYS_NAMESPACE_PREFIX log_assert_worker(_assert_expr_, #_assert_expr_, __FILE__, __LINE__) | ^~~~~~~~~~~~~ In file included from ./kernel/yosys.h:43: ./kernel/rtlil.h:872:14: note: declared here 872 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ mkdir -p kernel/ g++ -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ g++ -o kernel/io.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/io.cc mkdir -p kernel/ g++ -o kernel/gzip.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/gzip.cc mkdir -p kernel/ g++ -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ g++ -o kernel/tclapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc mkdir -p kernel/ g++ -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ g++ -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ g++ -o kernel/cost.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc mkdir -p kernel/ g++ -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc mkdir -p kernel/ g++ -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ g++ -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ g++ -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ g++ -o kernel/yw.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ g++ -o kernel/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ g++ -o kernel/fmt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ g++ -o kernel/sexpr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/sexpr.cc mkdir -p kernel/ g++ -o kernel/drivertools.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/drivertools.cc mkdir -p kernel/ g++ -o kernel/functional.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/functional.cc mkdir -p kernel/ g++ -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ g++ -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ g++ -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ g++ -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/aiger2/ g++ -o frontends/aiger2/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger2/xaiger.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ g++ -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ g++ -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ g++ -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ g++ -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ g++ -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ g++ -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ g++ -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ g++ -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ g++ -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ g++ -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ g++ -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ g++ -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ g++ -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ g++ -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ g++ -o passes/cmds/viz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ g++ -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ g++ -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitcells.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ g++ -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ g++ -o passes/cmds/internal_stats.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/internal_stats.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ g++ -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ g++ -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ g++ -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ g++ -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ g++ -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ g++ -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ g++ -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ g++ -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ g++ -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ g++ -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ g++ -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ g++ -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ g++ -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ g++ -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ g++ -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ g++ -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ g++ -o passes/cmds/xprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ g++ -o passes/cmds/dft_tag.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ g++ -o passes/cmds/future.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/cmds/ g++ -o passes/cmds/box_derive.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/box_derive.cc mkdir -p passes/cmds/ g++ -o passes/cmds/example_dt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/example_dt.cc mkdir -p passes/cmds/ g++ -o passes/cmds/portarcs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portarcs.cc mkdir -p passes/cmds/ g++ -o passes/cmds/wrapcell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/wrapcell.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setenv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setenv.cc mkdir -p passes/cmds/ g++ -o passes/cmds/abstract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/abstract.cc mkdir -p passes/cmds/ g++ -o passes/cmds/test_select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/test_select.cc mkdir -p passes/cmds/ g++ -o passes/cmds/timeest.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/timeest.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/keep_hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/keep_hierarchy.cc mkdir -p passes/memory/ g++ -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ g++ -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ g++ -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ g++ -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ g++ -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ g++ -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ g++ -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/opt/ && python3 passes/pmgen/pmgen.py -o passes/opt/peepopt_pm.h -p peepopt passes/opt/peepopt_shiftmul_right.pmg passes/opt/peepopt_shiftmul_left.pmg passes/opt/peepopt_shiftadd.pmg passes/opt/peepopt_muldiv.pmg passes/opt/peepopt_formal_clockgateff.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p techlibs/ice40/ && python3 passes/pmgen/pmgen.py -o techlibs/ice40/ice40_dsp_pm.h -p ice40_dsp techlibs/ice40/ice40_dsp.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_srl_pm.h -p xilinx_srl techlibs/xilinx/xilinx_srl.pmg mkdir -p passes/proc/ g++ -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ g++ -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ g++ -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ g++ -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ g++ -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ g++ -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ g++ -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ g++ -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ g++ -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ g++ -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ g++ -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ g++ -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ g++ -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ g++ -o passes/sat/recover_names.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ g++ -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ g++ -o passes/sat/synthprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ g++ -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/booth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ g++ -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ g++ -o passes/techmap/libcache.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libcache.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc_new.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc_new.cc mkdir -p passes/techmap/ g++ -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ g++ -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bwmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ g++ -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ g++ -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ g++ -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bufnorm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bufnorm.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc passes/techmap/attrmap.cc: In member function ‘virtual void {anonymous}::AttrmapPass::execute(std::vector >, Yosys::RTLIL::Design*)’: passes/techmap/attrmap.cc:266:74: warning: ‘std::vector Yosys::RTLIL::Design::selected_whole_modules() const’ is deprecated: Use select_unboxed_whole_modules() to maintain prior behaviour, or consider one of the other selected whole module helpers. [-Wdeprecated-declarations] 266 | for (auto module : design->selected_whole_modules()) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ In file included from ./kernel/yosys.h:43, from passes/techmap/attrmap.cc:20: ./kernel/rtlil.h:1423:37: note: declared here 1423 | std::vector selected_whole_modules() const { return selected_modules(SELECT_WHOLE_ONLY, SB_UNBOXED_WARN); } | ^~~~~~~~~~~~~~~~~~~~~~ mkdir -p passes/techmap/ g++ -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/techmap/ g++ -o passes/techmap/cellmatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/cellmatch.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clockgate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clockgate.cc mkdir -p passes/techmap/ g++ -o passes/techmap/constmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/constmap.cc mkdir -p passes/tests/ g++ -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ g++ -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ g++ -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ g++ -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ g++ -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/aiger2/ g++ -o backends/aiger2/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger2/aiger.cc mkdir -p backends/blif/ g++ -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ g++ -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ g++ -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ g++ -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ g++ -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/functional/ g++ -o backends/functional/cxx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/cxx.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib_rosette.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib_rosette.cc mkdir -p backends/functional/ g++ -o backends/functional/test_generic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/test_generic.cc mkdir -p backends/intersynth/ g++ -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ g++ -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ g++ -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ g++ -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ g++ -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ g++ -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ g++ -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ g++ -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ g++ -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ g++ -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ g++ -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ g++ -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ g++ -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ g++ -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ g++ -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ g++ -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ g++ -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_dsp.cc mkdir -p techlibs/ice40/ && python3 passes/pmgen/pmgen.py -o techlibs/ice40/ice40_wrapcarry_pm.h -p ice40_wrapcarry techlibs/ice40/ice40_wrapcarry.pmg mkdir -p techlibs/intel/ g++ -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ g++ -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/synth_lattice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/lattice_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/synth_microchip.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/synth_microchip.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/microchip_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dffopt.cc mkdir -p techlibs/microchip/ && python3 passes/pmgen/pmgen.py -o techlibs/microchip/microchip_dsp_pm.h -p microchip_dsp techlibs/microchip/microchip_dsp.pmg mkdir -p techlibs/microchip/ && python3 passes/pmgen/pmgen.py -o techlibs/microchip/microchip_dsp_CREG_pm.h -p microchip_dsp_CREG techlibs/microchip/microchip_dsp_CREG.pmg mkdir -p techlibs/microchip/ && python3 passes/pmgen/pmgen.py -o techlibs/microchip/microchip_dsp_cascade_pm.h -p microchip_dsp_cascade techlibs/microchip/microchip_dsp_cascade.pmg mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/synth_nanoxplore.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/synth_nanoxplore.cc mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/nx_carry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/nx_carry.cc mkdir -p techlibs/nexus/ g++ -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_types.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_simd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_ioff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_ioff.cc mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg mkdir -p techlibs/sf2/ g++ -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_pm.h -p xilinx_dsp techlibs/xilinx/xilinx_dsp.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp48a_pm.h -p xilinx_dsp48a techlibs/xilinx/xilinx_dsp48a.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG techlibs/xilinx/xilinx_dsp_CREG.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade techlibs/xilinx/xilinx_dsp_cascade.pmg mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_srl.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I"/usr/share/yosys/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ g++ -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/bitpattern.h share/include/kernel/bitpattern.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/drivertools.h share/include/kernel/drivertools.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/gzip.h share/include/kernel/gzip.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/io.h share/include/kernel/io.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/choices cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/choices cp "./"/techlibs/common/choices/han-carlson.v share/choices/han-carlson.v mkdir -p share/choices cp "./"/techlibs/common/choices/sklansky.v share/choices/sklansky.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw1n.v share/gowin/cells_xtra_gw1n.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw2a.v share/gowin/cells_xtra_gw2a.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw5a.v share/gowin/cells_xtra_gw5a.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/microchip cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v mkdir -p share/microchip cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus mkdir -p share/quicklogic/common cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx mkdir -p kernel/ cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v g++ -o kernel/version_690081810.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_690081810.cc mkdir -p kernel/ g++ -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/opt/ g++ -o passes/opt/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/microchip_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc mkdir -p ./ g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p share/quicklogic/qlf_k6n10f cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.53+15\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=53 -DYOSYS_COMMIT=15 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc g++ -o yosys -rdynamic kernel/version_690081810.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/io.o kernel/gzip.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/cmds/test_select.o passes/cmds/timeest.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/libcache.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/techmap/constmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.dEueov + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/include/yosys + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 0.53-20250510.0.git69008181.fc40 --unique-debug-suffix -0.53-20250510.0.git69008181.fc40.x86_64 --unique-debug-src-base yosys-0.53-20250510.0.git69008181.fc40.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys find-debuginfo: starting Extracting debug info from 2 files DWARF-compressing 2 files dwz: Too few files for multifile optimization sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/yosys-0.53-20250510.0.git69008181.fc40.x86_64 15861 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: yosys-0.53-20250510.0.git69008181.fc40.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.kwiirh + umask 022 + cd /builddir/build/BUILD + cd yosys + DOCDIR=/builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/doc/yosys + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/doc/yosys + cp -pr /builddir/build/BUILD/yosys/README.md /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.XvOtq9 + umask 022 + cd /builddir/build/BUILD + cd yosys + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/licenses/yosys + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/licenses/yosys + cp -pr /builddir/build/BUILD/yosys/COPYING /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.53-20250510.0.git69008181.fc40 yosys(x86-64) = 0.53-20250510.0.git69008181.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.15)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.26)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libffi.so.8()(64bit) libffi.so.8(LIBFFI_BASE_8.0)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libm.so.6(GLIBC_2.38)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.53-20250510.0.git69008181.fc40.x86_64 Provides: yosys-doc = 0.53-20250510.0.git69008181.fc40 yosys-doc(x86-64) = 0.53-20250510.0.git69008181.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.53-20250510.0.git69008181.fc40.noarch Provides: yosys-share = 0.53-20250510.0.git69008181.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.53-20250510.0.git69008181.fc40.x86_64 Provides: yosys-devel = 0.53-20250510.0.git69008181.fc40 yosys-devel(x86-64) = 0.53-20250510.0.git69008181.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.53-20250510.0.git69008181.fc40.x86_64 Provides: yosys-debugsource = 0.53-20250510.0.git69008181.fc40 yosys-debugsource(x86-64) = 0.53-20250510.0.git69008181.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.53-20250510.0.git69008181.fc40.x86_64 Provides: debuginfo(build-id) = 194026f17bcc8905ff388e20527071686393fc41 debuginfo(build-id) = 75e6f78955f86d1efdb2d83a04bf1f37efe6ed7d yosys-debuginfo = 0.53-20250510.0.git69008181.fc40 yosys-debuginfo(x86-64) = 0.53-20250510.0.git69008181.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-64) = 0.53-20250510.0.git69008181.fc40 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 Wrote: /builddir/build/RPMS/yosys-share-0.53-20250510.0.git69008181.fc40.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.53-20250510.0.git69008181.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.53-20250510.0.git69008181.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.53-20250510.0.git69008181.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-0.53-20250510.0.git69008181.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.53-20250510.0.git69008181.fc40.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.SqjRQj + umask 022 + cd /builddir/build/BUILD + cd yosys + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.53-20250510.0.git69008181.fc40.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.H36xPk + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/yosys-SPECPARTS + rm -rf yosys yosys.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild yosys-0.53-20250510.0.git69008181.fc40.src.rpm Finish: build phase for yosys-0.53-20250510.0.git69008181.fc40.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-x86_64-1746949322.608794/root/var/log/dnf5.log INFO: chroot_scan: creating tarball /var/lib/copr-rpmbuild/results/chroot_scan.tar.gz /bin/tar: Removing leading `/' from member names INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.53-20250510.0.git69008181.fc40.src.rpm) Config(child) 17 minutes 32 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "yosys-debuginfo", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "x86_64" }, { "name": "yosys-debugsource", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "x86_64" }, { "name": "yosys", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "src" }, { "name": "yosys", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "x86_64" }, { "name": "yosys-devel", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "x86_64" }, { "name": "yosys-doc", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "x86_64" }, { "name": "yosys-share", "epoch": null, "version": "0.53", "release": "20250510.0.git69008181.fc40", "arch": "noarch" } ] } RPMResults finished