Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c108' (ED25519) to the list of known hosts. Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-ea1cqski/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-ea1cqski/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1737200356.388020 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 6.0 starting (python version = 3.13.0, NVR = mock-6.0-1.fc41), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-ea1cqski/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-ea1cqski/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1737200356.388020 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-ea1cqski/nextpnr/nextpnr.spec) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 6.0 INFO: Mock Version: 6.0 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1737200356.388020/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using container image: registry.fedoraproject.org/fedora:rawhide INFO: Pulling image: registry.fedoraproject.org/fedora:rawhide INFO: Tagging container image as mock-bootstrap-ba528109-4184-4ebc-8a76-a2828cdd07d5 INFO: Checking that 3b26c95bbe87cc88f475ce9c0ba4b394bf23541b10bebe9a634c9c8e61f73b10 image matches host's architecture INFO: Copy content of container 3b26c95bbe87cc88f475ce9c0ba4b394bf23541b10bebe9a634c9c8e61f73b10 to /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1737200356.388020/root INFO: mounting 3b26c95bbe87cc88f475ce9c0ba4b394bf23541b10bebe9a634c9c8e61f73b10 with podman image mount INFO: image 3b26c95bbe87cc88f475ce9c0ba4b394bf23541b10bebe9a634c9c8e61f73b10 as /var/lib/containers/storage/overlay/d3212d8beae72a97d426f4f4cbc9926037985c9d492b381ad2608549e5b9deb3/merged INFO: umounting image 3b26c95bbe87cc88f475ce9c0ba4b394bf23541b10bebe9a634c9c8e61f73b10 (/var/lib/containers/storage/overlay/d3212d8beae72a97d426f4f4cbc9926037985c9d492b381ad2608549e5b9deb3/merged) with podman image umount INFO: Removing image mock-bootstrap-ba528109-4184-4ebc-8a76-a2828cdd07d5 INFO: Package manager dnf5 detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1737200356.388020/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.20.0-1.fc42.x86_64 rpm-sequoia-1.7.0-3.fc42.x86_64 dnf5-5.2.8.1-2.fc42.x86_64 dnf5-plugins-5.2.8.1-2.fc42.x86_64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 17.5 MiB/s | 21.6 MiB | 00m01s Copr repository 100% | 1.8 MiB/s | 143.2 KiB | 00m00s Additional repo copr_rezso_ML 100% | 2.1 MiB/s | 167.0 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 485.6 KiB/s | 47.1 KiB | 00m00s Additional repo http_developer_downloa 100% | 2.7 MiB/s | 951.0 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.7 MiB/s | 604.3 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.4 MiB/s | 455.2 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash x86_64 5.2.37-1.fc42 fedora 8.2 MiB bzip2 x86_64 1.0.8-19.fc41 fedora 95.7 KiB coreutils x86_64 9.5-12.fc42 fedora 5.5 MiB cpio x86_64 2.15-2.fc41 fedora 1.1 MiB diffutils x86_64 3.10-8.fc41 fedora 1.6 MiB fedora-release-common noarch 42-0.13 fedora 19.8 KiB findutils x86_64 1:4.10.0-4.fc41 fedora 1.8 MiB gawk x86_64 5.3.0-4.fc41 fedora 1.7 MiB glibc-minimal-langpack x86_64 2.40.9000-99.fc42 copr_rezso_ML 0.0 B grep x86_64 3.11-9.fc41 fedora 1.0 MiB gzip x86_64 1.13-2.fc41 fedora 389.0 KiB info x86_64 7.2-1.fc42 fedora 355.1 KiB patch x86_64 2.7.6-25.fc41 fedora 266.7 KiB redhat-rpm-config noarch 300-1.fc42 fedora 186.8 KiB rpm-build x86_64 4.20.0-6.fc42 fedora 185.8 KiB sed x86_64 4.9-3.fc41 fedora 861.5 KiB shadow-utils x86_64 2:4.17.0-3.fc42 fedora 4.0 MiB tar x86_64 2:1.35-4.fc41 fedora 2.9 MiB unzip x86_64 6.0-65.fc42 fedora 398.2 KiB util-linux x86_64 2.40.4-1.fc42 fedora 3.5 MiB which x86_64 2.21-42.fc41 fedora 80.2 KiB xz x86_64 1:5.6.3-2.fc42 fedora 1.2 MiB Installing dependencies: add-determinism x86_64 0.5.0-1.fc42 fedora 2.4 MiB alternatives x86_64 1.31-2.fc42 fedora 64.8 KiB ansible-srpm-macros noarch 1-16.fc41 fedora 35.7 KiB audit-libs x86_64 4.0.3-1.fc42 fedora 351.3 KiB authselect x86_64 1.5.0-8.fc42 fedora 157.5 KiB authselect-libs x86_64 1.5.0-8.fc42 fedora 822.2 KiB basesystem noarch 11-21.fc41 fedora 0.0 B binutils x86_64 2.43.50-9.fc42 fedora 25.8 MiB build-reproducibility-srpm-macros noarch 0.5.0-1.fc42 fedora 735.0 B bzip2-libs x86_64 1.0.8-19.fc41 fedora 80.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-4.fc42 fedora 2.6 MiB coreutils-common x86_64 9.5-12.fc42 fedora 11.2 MiB cracklib x86_64 2.9.11-6.fc41 fedora 238.9 KiB crypto-policies noarch 20241128-1.gitbb7b0b0.fc42 fedora 137.3 KiB curl x86_64 8.11.1-2.fc42 fedora 452.0 KiB cyrus-sasl-lib x86_64 2.1.28-28.fc42 fedora 2.3 MiB debugedit x86_64 5.1-4.fc42 fedora 200.4 KiB dwz x86_64 0.15-8.fc42 fedora 299.2 KiB ed x86_64 1.21-1.fc42 fedora 144.8 KiB efi-srpm-macros noarch 5-13.fc42 fedora 40.2 KiB elfutils x86_64 0.192-7.fc42 fedora 2.6 MiB elfutils-debuginfod-client x86_64 0.192-7.fc42 fedora 81.4 KiB elfutils-default-yama-scope noarch 0.192-7.fc42 fedora 1.8 KiB elfutils-libelf x86_64 0.192-7.fc42 fedora 1.2 MiB elfutils-libs x86_64 0.192-7.fc42 fedora 662.9 KiB fedora-gpg-keys noarch 42-0.4 fedora 128.2 KiB fedora-release noarch 42-0.13 fedora 0.0 B fedora-release-identity-basic noarch 42-0.13 fedora 719.0 B fedora-repos noarch 42-0.4 fedora 4.9 KiB fedora-repos-rawhide noarch 42-0.4 fedora 2.2 KiB file x86_64 5.45-8.fc42 fedora 103.7 KiB file-libs x86_64 5.45-8.fc42 fedora 9.9 MiB filesystem x86_64 3.18-34.fc42 fedora 112.0 B filesystem-srpm-macros noarch 3.18-34.fc42 fedora 38.2 KiB fonts-srpm-macros noarch 1:2.0.5-19.fc42 fedora 55.8 KiB forge-srpm-macros noarch 0.4.0-1.fc42 fedora 38.9 KiB fpc-srpm-macros noarch 1.3-13.fc41 fedora 144.0 B gdb-minimal x86_64 15.2-4.fc42 fedora 12.7 MiB gdbm x86_64 1:1.23-7.fc41 fedora 460.9 KiB gdbm-libs x86_64 1:1.23-7.fc41 fedora 121.9 KiB ghc-srpm-macros noarch 1.9.2-1.fc42 fedora 779.0 B glibc x86_64 2.40.9000-99.fc42 copr_rezso_ML 6.6 MiB glibc-common x86_64 2.40.9000-99.fc42 copr_rezso_ML 1.0 MiB glibc-gconv-extra x86_64 2.40.9000-99.fc42 copr_rezso_ML 8.0 MiB gmp x86_64 1:6.3.0-2.fc41 fedora 811.4 KiB gnat-srpm-macros noarch 6-6.fc41 fedora 1.0 KiB go-srpm-macros noarch 3.6.0-5.fc42 fedora 60.8 KiB jansson x86_64 2.14-1.fc42 fedora 93.1 KiB json-c x86_64 0.18-1.fc42 fedora 83.3 KiB kernel-srpm-macros noarch 1.0-24.fc41 fedora 1.9 KiB keyutils-libs x86_64 1.6.3-4.fc41 fedora 54.4 KiB krb5-libs x86_64 1.21.3-3.fc42 fedora 2.3 MiB libacl x86_64 2.3.2-2.fc41 fedora 40.0 KiB libarchive x86_64 3.7.7-1.fc42 fedora 932.3 KiB libattr x86_64 2.5.2-4.fc41 fedora 28.5 KiB libblkid x86_64 2.40.4-1.fc42 fedora 257.2 KiB libbrotli x86_64 1.1.0-5.fc41 fedora 837.6 KiB libcap x86_64 2.71-2.fc42 fedora 223.4 KiB libcap-ng x86_64 0.8.5-3.fc41 fedora 69.2 KiB libcom_err x86_64 1.47.2-2.fc42 fedora 65.9 KiB libcurl x86_64 8.11.1-2.fc42 fedora 817.3 KiB libeconf x86_64 0.7.5-1.fc42 fedora 66.7 KiB libevent x86_64 2.1.12-14.fc41 fedora 895.7 KiB libfdisk x86_64 2.40.4-1.fc42 fedora 368.4 KiB libffi x86_64 3.4.6-3.fc42 fedora 86.4 KiB libgcc x86_64 15.0.1-0.3.fc42 fedora 266.9 KiB libgomp x86_64 15.0.1-0.3.fc42 fedora 529.6 KiB libidn2 x86_64 2.3.7-2.fc41 fedora 329.1 KiB libmount x86_64 2.40.4-1.fc42 fedora 352.8 KiB libnghttp2 x86_64 1.64.0-1.fc42 fedora 174.5 KiB libnsl2 x86_64 2.0.1-2.fc41 fedora 57.9 KiB libpkgconf x86_64 2.3.0-1.fc42 fedora 78.2 KiB libpsl x86_64 0.21.5-4.fc41 fedora 80.5 KiB libpwquality x86_64 1.4.5-11.fc41 fedora 417.8 KiB libselinux x86_64 3.8-0.rc3.1.fc42.2 fedora 191.6 KiB libsemanage x86_64 3.8-0.rc3.1.fc42 fedora 305.3 KiB libsepol x86_64 3.8-0.rc3.1.fc42 fedora 812.3 KiB libsmartcols x86_64 2.40.4-1.fc42 fedora 176.2 KiB libssh x86_64 0.11.1-1.fc42 fedora 569.6 KiB libssh-config noarch 0.11.1-1.fc42 fedora 277.0 B libstdc++ x86_64 15.0.1-0.3.fc42 fedora 2.8 MiB libtasn1 x86_64 4.19.0-9.fc41 fedora 175.7 KiB libtirpc x86_64 1.3.6-1.rc3.fc42 fedora 197.6 KiB libtool-ltdl x86_64 2.5.4-3.fc42 fedora 68.1 KiB libunistring x86_64 1.1-8.fc41 fedora 1.7 MiB libuuid x86_64 2.40.4-1.fc42 fedora 39.9 KiB libverto x86_64 0.3.2-9.fc41 fedora 29.5 KiB libxcrypt x86_64 4.4.38-3.fc42 fedora 272.0 KiB libxml2 x86_64 2.12.9-1.fc42 fedora 1.7 MiB libzstd x86_64 1.5.6-2.fc41 fedora 795.9 KiB lua-libs x86_64 5.4.7-1.fc42 fedora 285.0 KiB lua-srpm-macros noarch 1-14.fc41 fedora 1.3 KiB lz4-libs x86_64 1.10.0-1.fc41 fedora 145.5 KiB mpfr x86_64 4.2.1-5.fc41 fedora 832.1 KiB ncurses-base noarch 6.5-2.20240629.fc41 fedora 326.3 KiB ncurses-libs x86_64 6.5-2.20240629.fc41 fedora 975.2 KiB ocaml-srpm-macros noarch 10-3.fc41 fedora 1.9 KiB openblas-srpm-macros noarch 2-18.fc41 fedora 112.0 B openldap x86_64 2.6.9-2.fc42 fedora 663.1 KiB openssl-libs x86_64 1:3.2.2-11.fc42 fedora 7.7 MiB p11-kit x86_64 0.25.5-4.fc42 fedora 2.2 MiB p11-kit-trust x86_64 0.25.5-4.fc42 fedora 403.8 KiB package-notes-srpm-macros noarch 0.5-12.fc41 fedora 1.6 KiB pam x86_64 1.7.0-3.fc42 fedora 1.8 MiB pam-libs x86_64 1.7.0-3.fc42 fedora 139.4 KiB pcre2 x86_64 10.44-1.fc41.1 fedora 653.5 KiB pcre2-syntax noarch 10.44-1.fc41.1 fedora 251.6 KiB perl-srpm-macros noarch 1-56.fc41 fedora 861.0 B pkgconf x86_64 2.3.0-1.fc42 fedora 88.6 KiB pkgconf-m4 noarch 2.3.0-1.fc42 fedora 14.4 KiB pkgconf-pkg-config x86_64 2.3.0-1.fc42 fedora 989.0 B popt x86_64 1.19-7.fc41 fedora 136.9 KiB publicsuffix-list-dafsa noarch 20240107-4.fc41 fedora 67.5 KiB pyproject-srpm-macros noarch 1.16.4-1.fc42 fedora 1.9 KiB python-srpm-macros noarch 3.13-3.fc41 fedora 51.0 KiB qt5-srpm-macros noarch 5.15.15-1.fc42 fedora 500.0 B qt6-srpm-macros noarch 6.8.1-4.fc42 fedora 456.0 B readline x86_64 8.2-11.fc42 fedora 493.1 KiB rpm x86_64 4.20.0-6.fc42 fedora 3.1 MiB rpm-build-libs x86_64 4.20.0-6.fc42 fedora 204.1 KiB rpm-libs x86_64 4.20.0-6.fc42 fedora 710.4 KiB rpm-sequoia x86_64 1.7.0-3.fc42 fedora 2.3 MiB rust-srpm-macros noarch 26.3-3.fc42 fedora 4.8 KiB setup noarch 2.15.0-9.fc42 fedora 720.7 KiB sqlite-libs x86_64 3.47.2-2.fc42 fedora 1.5 MiB systemd-libs x86_64 257.2-7.fc42 fedora 2.2 MiB util-linux-core x86_64 2.40.4-1.fc42 fedora 1.5 MiB xxhash-libs x86_64 0.8.3-1.fc42 fedora 88.5 KiB xz-libs x86_64 1:5.6.3-2.fc42 fedora 218.4 KiB zig-srpm-macros noarch 1-3.fc41 fedora 1.1 KiB zip x86_64 3.0-42.fc42 fedora 695.9 KiB zlib-ng-compat x86_64 2.2.3-1.fc42 fedora 138.5 KiB zstd x86_64 1.5.6-2.fc41 fedora 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 155 packages Total size of inbound packages is 51 MiB. Need to download 51 MiB. After this operation, 178 MiB extra will be used (install 178 MiB, remove 0 B). [ 1/155] bzip2-0:1.0.8-19.fc41.x86_64 100% | 656.5 KiB/s | 52.5 KiB | 00m00s [ 2/155] cpio-0:2.15-2.fc41.x86_64 100% | 3.5 MiB/s | 291.8 KiB | 00m00s [ 3/155] coreutils-0:9.5-12.fc42.x86_6 100% | 5.7 MiB/s | 970.8 KiB | 00m00s [ 4/155] bash-0:5.2.37-1.fc42.x86_64 100% | 10.6 MiB/s | 1.8 MiB | 00m00s [ 5/155] fedora-release-common-0:42-0. 100% | 584.4 KiB/s | 24.0 KiB | 00m00s [ 6/155] diffutils-0:3.10-8.fc41.x86_6 100% | 6.8 MiB/s | 405.4 KiB | 00m00s [ 7/155] findutils-1:4.10.0-4.fc41.x86 100% | 9.4 MiB/s | 548.6 KiB | 00m00s [ 8/155] grep-0:3.11-9.fc41.x86_64 100% | 4.6 MiB/s | 299.8 KiB | 00m00s [ 9/155] gzip-0:1.13-2.fc41.x86_64 100% | 2.9 MiB/s | 170.2 KiB | 00m00s [ 10/155] info-0:7.2-1.fc42.x86_64 100% | 3.1 MiB/s | 176.3 KiB | 00m00s [ 11/155] patch-0:2.7.6-25.fc41.x86_64 100% | 2.6 MiB/s | 131.0 KiB | 00m00s [ 12/155] rpm-build-0:4.20.0-6.fc42.x86 100% | 1.6 MiB/s | 75.3 KiB | 00m00s [ 13/155] redhat-rpm-config-0:300-1.fc4 100% | 1.5 MiB/s | 82.8 KiB | 00m00s [ 14/155] sed-0:4.9-3.fc41.x86_64 100% | 5.5 MiB/s | 317.7 KiB | 00m00s [ 15/155] shadow-utils-2:4.17.0-3.fc42. 100% | 19.6 MiB/s | 1.3 MiB | 00m00s [ 16/155] tar-2:1.35-4.fc41.x86_64 100% | 12.0 MiB/s | 860.7 KiB | 00m00s [ 17/155] unzip-0:6.0-65.fc42.x86_64 100% | 3.5 MiB/s | 184.5 KiB | 00m00s [ 18/155] which-0:2.21-42.fc41.x86_64 100% | 989.5 KiB/s | 41.6 KiB | 00m00s [ 19/155] xz-1:5.6.3-2.fc42.x86_64 100% | 7.5 MiB/s | 475.4 KiB | 00m00s [ 20/155] glibc-minimal-langpack-0:2.40 100% | 2.7 MiB/s | 118.5 KiB | 00m00s [ 21/155] gawk-0:5.3.0-4.fc41.x86_64 100% | 17.3 MiB/s | 1.1 MiB | 00m00s [ 22/155] util-linux-0:2.40.4-1.fc42.x8 100% | 11.6 MiB/s | 1.1 MiB | 00m00s [ 23/155] filesystem-0:3.18-34.fc42.x86 100% | 15.7 MiB/s | 1.3 MiB | 00m00s [ 24/155] ncurses-libs-0:6.5-2.20240629 100% | 3.7 MiB/s | 334.0 KiB | 00m00s [ 25/155] bzip2-libs-0:1.0.8-19.fc41.x8 100% | 1.2 MiB/s | 41.1 KiB | 00m00s [ 26/155] gmp-1:6.3.0-2.fc41.x86_64 100% | 5.2 MiB/s | 318.0 KiB | 00m00s [ 27/155] libacl-0:2.3.2-2.fc41.x86_64 100% | 471.2 KiB/s | 24.5 KiB | 00m00s [ 28/155] coreutils-common-0:9.5-12.fc4 100% | 19.8 MiB/s | 2.1 MiB | 00m00s [ 29/155] libattr-0:2.5.2-4.fc41.x86_64 100% | 443.2 KiB/s | 18.2 KiB | 00m00s [ 30/155] libcap-0:2.71-2.fc42.x86_64 100% | 1.6 MiB/s | 78.1 KiB | 00m00s [ 31/155] libselinux-0:3.8-0.rc3.1.fc42 100% | 1.8 MiB/s | 91.2 KiB | 00m00s [ 32/155] fedora-repos-0:42-0.4.noarch 100% | 160.0 KiB/s | 9.3 KiB | 00m00s [ 33/155] systemd-libs-0:257.2-7.fc42.x 100% | 5.8 MiB/s | 771.5 KiB | 00m00s [ 34/155] openssl-libs-1:3.2.2-11.fc42. 100% | 14.5 MiB/s | 2.2 MiB | 00m00s [ 35/155] pcre2-0:10.44-1.fc41.1.x86_64 100% | 3.9 MiB/s | 243.1 KiB | 00m00s [ 36/155] ansible-srpm-macros-0:1-16.fc 100% | 532.7 KiB/s | 20.8 KiB | 00m00s [ 37/155] ed-0:1.21-1.fc42.x86_64 100% | 1.5 MiB/s | 80.2 KiB | 00m00s [ 38/155] build-reproducibility-srpm-ma 100% | 244.1 KiB/s | 11.5 KiB | 00m00s [ 39/155] efi-srpm-macros-0:5-13.fc42.n 100% | 575.9 KiB/s | 22.5 KiB | 00m00s [ 40/155] dwz-0:0.15-8.fc42.x86_64 100% | 2.8 MiB/s | 139.1 KiB | 00m00s [ 41/155] file-0:5.45-8.fc42.x86_64 100% | 1.1 MiB/s | 48.6 KiB | 00m00s [ 42/155] filesystem-srpm-macros-0:3.18 100% | 666.3 KiB/s | 25.3 KiB | 00m00s [ 43/155] fonts-srpm-macros-1:2.0.5-19. 100% | 677.5 KiB/s | 27.1 KiB | 00m00s [ 44/155] forge-srpm-macros-0:0.4.0-1.f 100% | 459.5 KiB/s | 19.8 KiB | 00m00s [ 45/155] fpc-srpm-macros-0:1.3-13.fc41 100% | 248.8 KiB/s | 8.0 KiB | 00m00s [ 46/155] ghc-srpm-macros-0:1.9.2-1.fc4 100% | 212.0 KiB/s | 9.1 KiB | 00m00s [ 47/155] gnat-srpm-macros-0:6-6.fc41.n 100% | 263.3 KiB/s | 9.0 KiB | 00m00s [ 48/155] go-srpm-macros-0:3.6.0-5.fc42 100% | 822.4 KiB/s | 28.0 KiB | 00m00s [ 49/155] kernel-srpm-macros-0:1.0-24.f 100% | 274.1 KiB/s | 9.9 KiB | 00m00s [ 50/155] lua-srpm-macros-0:1-14.fc41.n 100% | 240.0 KiB/s | 8.9 KiB | 00m00s [ 51/155] ocaml-srpm-macros-0:10-3.fc41 100% | 278.8 KiB/s | 9.2 KiB | 00m00s [ 52/155] openblas-srpm-macros-0:2-18.f 100% | 192.9 KiB/s | 7.7 KiB | 00m00s [ 53/155] package-notes-srpm-macros-0:0 100% | 289.1 KiB/s | 9.8 KiB | 00m00s [ 54/155] perl-srpm-macros-0:1-56.fc41. 100% | 258.0 KiB/s | 8.5 KiB | 00m00s [ 55/155] pyproject-srpm-macros-0:1.16. 100% | 439.3 KiB/s | 14.1 KiB | 00m00s [ 56/155] python-srpm-macros-0:3.13-3.f 100% | 718.8 KiB/s | 23.7 KiB | 00m00s [ 57/155] qt5-srpm-macros-0:5.15.15-1.f 100% | 261.7 KiB/s | 8.9 KiB | 00m00s [ 58/155] qt6-srpm-macros-0:6.8.1-4.fc4 100% | 280.8 KiB/s | 9.3 KiB | 00m00s [ 59/155] rust-srpm-macros-0:26.3-3.fc4 100% | 355.9 KiB/s | 12.1 KiB | 00m00s [ 60/155] rpm-0:4.20.0-6.fc42.x86_64 100% | 9.0 MiB/s | 541.9 KiB | 00m00s [ 61/155] zig-srpm-macros-0:1-3.fc41.no 100% | 232.1 KiB/s | 8.1 KiB | 00m00s [ 62/155] zip-0:3.0-42.fc42.x86_64 100% | 4.8 MiB/s | 256.7 KiB | 00m00s [ 63/155] debugedit-0:5.1-4.fc42.x86_64 100% | 1.6 MiB/s | 78.9 KiB | 00m00s [ 64/155] elfutils-0:0.192-7.fc42.x86_6 100% | 8.5 MiB/s | 504.7 KiB | 00m00s [ 65/155] elfutils-libelf-0:0.192-7.fc4 100% | 3.8 MiB/s | 204.6 KiB | 00m00s [ 66/155] libarchive-0:3.7.7-1.fc42.x86 100% | 6.3 MiB/s | 413.9 KiB | 00m00s [ 67/155] popt-0:1.19-7.fc41.x86_64 100% | 1.1 MiB/s | 65.9 KiB | 00m00s [ 68/155] readline-0:8.2-11.fc42.x86_64 100% | 4.2 MiB/s | 213.4 KiB | 00m00s [ 69/155] rpm-build-libs-0:4.20.0-6.fc4 100% | 1.6 MiB/s | 96.3 KiB | 00m00s [ 70/155] rpm-libs-0:4.20.0-6.fc42.x86_ 100% | 5.6 MiB/s | 298.3 KiB | 00m00s [ 71/155] zstd-0:1.5.6-2.fc41.x86_64 100% | 8.9 MiB/s | 481.5 KiB | 00m00s [ 72/155] libeconf-0:0.7.5-1.fc42.x86_6 100% | 1.0 MiB/s | 33.1 KiB | 00m00s [ 73/155] audit-libs-0:4.0.3-1.fc42.x86 100% | 2.6 MiB/s | 124.9 KiB | 00m00s [ 74/155] libsemanage-0:3.8-0.rc3.1.fc4 100% | 2.4 MiB/s | 116.1 KiB | 00m00s [ 75/155] pam-libs-0:1.7.0-3.fc42.x86_6 100% | 1.6 MiB/s | 58.0 KiB | 00m00s [ 76/155] libxcrypt-0:4.4.38-3.fc42.x86 100% | 2.5 MiB/s | 119.2 KiB | 00m00s [ 77/155] setup-0:2.15.0-9.fc42.noarch 100% | 3.2 MiB/s | 155.9 KiB | 00m00s [ 78/155] xz-libs-1:5.6.3-2.fc42.x86_64 100% | 2.2 MiB/s | 111.9 KiB | 00m00s [ 79/155] mpfr-0:4.2.1-5.fc41.x86_64 100% | 6.0 MiB/s | 346.3 KiB | 00m00s [ 80/155] glibc-0:2.40.9000-99.fc42.x86 100% | 41.9 MiB/s | 2.2 MiB | 00m00s [ 81/155] glibc-common-0:2.40.9000-99.f 100% | 10.0 MiB/s | 387.5 KiB | 00m00s [ 82/155] libblkid-0:2.40.4-1.fc42.x86_ 100% | 2.5 MiB/s | 119.8 KiB | 00m00s [ 83/155] libcap-ng-0:0.8.5-3.fc41.x86_ 100% | 930.4 KiB/s | 32.6 KiB | 00m00s [ 84/155] libfdisk-0:2.40.4-1.fc42.x86_ 100% | 3.1 MiB/s | 153.4 KiB | 00m00s [ 85/155] libmount-0:2.40.4-1.fc42.x86_ 100% | 2.9 MiB/s | 149.7 KiB | 00m00s [ 86/155] libuuid-0:2.40.4-1.fc42.x86_6 100% | 807.9 KiB/s | 27.5 KiB | 00m00s [ 87/155] libsmartcols-0:2.40.4-1.fc42. 100% | 1.4 MiB/s | 80.1 KiB | 00m00s [ 88/155] util-linux-core-0:2.40.4-1.fc 100% | 8.0 MiB/s | 494.6 KiB | 00m00s [ 89/155] zlib-ng-compat-0:2.2.3-1.fc42 100% | 1.5 MiB/s | 75.6 KiB | 00m00s [ 90/155] ncurses-base-0:6.5-2.20240629 100% | 1.6 MiB/s | 88.4 KiB | 00m00s [ 91/155] libgcc-0:15.0.1-0.3.fc42.x86_ 100% | 2.1 MiB/s | 106.0 KiB | 00m00s [ 92/155] libsepol-0:3.8-0.rc3.1.fc42.x 100% | 6.2 MiB/s | 332.6 KiB | 00m00s [ 93/155] ca-certificates-0:2024.2.69_v 100% | 14.3 MiB/s | 948.9 KiB | 00m00s [ 94/155] crypto-policies-0:20241128-1. 100% | 1.9 MiB/s | 98.4 KiB | 00m00s [ 95/155] fedora-gpg-keys-0:42-0.4.noar 100% | 2.8 MiB/s | 135.6 KiB | 00m00s [ 96/155] fedora-repos-rawhide-0:42-0.4 100% | 226.9 KiB/s | 8.9 KiB | 00m00s [ 97/155] pcre2-syntax-0:10.44-1.fc41.1 100% | 3.0 MiB/s | 149.9 KiB | 00m00s [ 98/155] add-determinism-0:0.5.0-1.fc4 100% | 13.4 MiB/s | 848.7 KiB | 00m00s [ 99/155] file-libs-0:5.45-8.fc42.x86_6 100% | 10.8 MiB/s | 763.6 KiB | 00m00s [100/155] curl-0:8.11.1-2.fc42.x86_64 100% | 4.3 MiB/s | 220.2 KiB | 00m00s [101/155] elfutils-libs-0:0.192-7.fc42. 100% | 5.0 MiB/s | 251.9 KiB | 00m00s [102/155] elfutils-debuginfod-client-0: 100% | 933.2 KiB/s | 43.9 KiB | 00m00s [103/155] libstdc++-0:15.0.1-0.3.fc42.x 100% | 13.5 MiB/s | 816.6 KiB | 00m00s [104/155] libzstd-0:1.5.6-2.fc41.x86_64 100% | 5.1 MiB/s | 310.3 KiB | 00m00s [105/155] libxml2-0:2.12.9-1.fc42.x86_6 100% | 11.7 MiB/s | 657.1 KiB | 00m00s [106/155] lz4-libs-0:1.10.0-1.fc41.x86_ 100% | 1.5 MiB/s | 70.7 KiB | 00m00s [107/155] libgomp-0:15.0.1-0.3.fc42.x86 100% | 5.8 MiB/s | 333.4 KiB | 00m00s [108/155] lua-libs-0:5.4.7-1.fc42.x86_6 100% | 2.6 MiB/s | 132.1 KiB | 00m00s [109/155] glibc-gconv-extra-0:2.40.9000 100% | 28.8 MiB/s | 1.5 MiB | 00m00s [110/155] rpm-sequoia-0:1.7.0-3.fc42.x8 100% | 9.7 MiB/s | 826.0 KiB | 00m00s [111/155] sqlite-libs-0:3.47.2-2.fc42.x 100% | 8.4 MiB/s | 734.8 KiB | 00m00s [112/155] basesystem-0:11-21.fc41.noarc 100% | 216.8 KiB/s | 7.4 KiB | 00m00s [113/155] elfutils-default-yama-scope-0 100% | 356.1 KiB/s | 12.5 KiB | 00m00s [114/155] json-c-0:0.18-1.fc42.x86_64 100% | 1.2 MiB/s | 44.4 KiB | 00m00s [115/155] pam-0:1.7.0-3.fc42.x86_64 100% | 9.8 MiB/s | 554.3 KiB | 00m00s [116/155] p11-kit-trust-0:0.25.5-4.fc42 100% | 2.4 MiB/s | 133.2 KiB | 00m00s [117/155] libffi-0:3.4.6-3.fc42.x86_64 100% | 1.1 MiB/s | 40.0 KiB | 00m00s [118/155] p11-kit-0:0.25.5-4.fc42.x86_6 100% | 7.1 MiB/s | 492.0 KiB | 00m00s [119/155] pkgconf-pkg-config-0:2.3.0-1. 100% | 188.9 KiB/s | 10.0 KiB | 00m00s [120/155] authselect-libs-0:1.5.0-8.fc4 100% | 2.6 MiB/s | 218.0 KiB | 00m00s [121/155] authselect-0:1.5.0-8.fc42.x86 100% | 2.3 MiB/s | 145.8 KiB | 00m00s [122/155] binutils-0:2.43.50-9.fc42.x86 100% | 35.0 MiB/s | 5.8 MiB | 00m00s [123/155] gdbm-1:1.23-7.fc41.x86_64 100% | 2.8 MiB/s | 151.8 KiB | 00m00s [124/155] gdbm-libs-1:1.23-7.fc41.x86_6 100% | 1.4 MiB/s | 56.3 KiB | 00m00s [125/155] libnsl2-0:2.0.1-2.fc41.x86_64 100% | 800.3 KiB/s | 29.6 KiB | 00m00s [126/155] libpwquality-0:1.4.5-11.fc41. 100% | 2.6 MiB/s | 119.1 KiB | 00m00s [127/155] alternatives-0:1.31-2.fc42.x8 100% | 1.0 MiB/s | 39.6 KiB | 00m00s [128/155] libtirpc-0:1.3.6-1.rc3.fc42.x 100% | 1.9 MiB/s | 89.7 KiB | 00m00s [129/155] libtasn1-0:4.19.0-9.fc41.x86_ 100% | 1.5 MiB/s | 74.2 KiB | 00m00s [130/155] jansson-0:2.14-1.fc42.x86_64 100% | 1.3 MiB/s | 45.3 KiB | 00m00s [131/155] pkgconf-0:2.3.0-1.fc42.x86_64 100% | 1.3 MiB/s | 45.2 KiB | 00m00s [132/155] pkgconf-m4-0:2.3.0-1.fc42.noa 100% | 421.2 KiB/s | 14.3 KiB | 00m00s [133/155] cracklib-0:2.9.11-6.fc41.x86_ 100% | 1.8 MiB/s | 92.0 KiB | 00m00s [134/155] libcom_err-0:1.47.2-2.fc42.x8 100% | 800.4 KiB/s | 25.6 KiB | 00m00s [135/155] krb5-libs-0:1.21.3-3.fc42.x86 100% | 12.6 MiB/s | 760.4 KiB | 00m00s [136/155] libpkgconf-0:2.3.0-1.fc42.x86 100% | 1.2 MiB/s | 38.5 KiB | 00m00s [137/155] keyutils-libs-0:1.6.3-4.fc41. 100% | 959.1 KiB/s | 31.6 KiB | 00m00s [138/155] libverto-0:0.3.2-9.fc41.x86_6 100% | 627.0 KiB/s | 20.7 KiB | 00m00s [139/155] fedora-release-0:42-0.13.noar 100% | 408.7 KiB/s | 13.1 KiB | 00m00s [140/155] xxhash-libs-0:0.8.3-1.fc42.x8 100% | 1.1 MiB/s | 35.9 KiB | 00m00s [141/155] fedora-release-identity-basic 100% | 257.1 KiB/s | 13.9 KiB | 00m00s [142/155] gdb-minimal-0:15.2-4.fc42.x86 100% | 37.4 MiB/s | 4.0 MiB | 00m00s [143/155] libcurl-0:8.11.1-2.fc42.x86_6 100% | 4.8 MiB/s | 356.7 KiB | 00m00s [144/155] libbrotli-0:1.1.0-5.fc41.x86_ 100% | 6.5 MiB/s | 340.5 KiB | 00m00s [145/155] libidn2-0:2.3.7-2.fc41.x86_64 100% | 2.5 MiB/s | 118.4 KiB | 00m00s [146/155] libnghttp2-0:1.64.0-1.fc42.x8 100% | 1.6 MiB/s | 77.4 KiB | 00m00s [147/155] libpsl-0:0.21.5-4.fc41.x86_64 100% | 1.4 MiB/s | 64.1 KiB | 00m00s [148/155] openldap-0:2.6.9-2.fc42.x86_6 100% | 4.8 MiB/s | 259.5 KiB | 00m00s [149/155] libssh-0:0.11.1-1.fc42.x86_64 100% | 3.2 MiB/s | 231.9 KiB | 00m00s [150/155] libunistring-0:1.1-8.fc41.x86 100% | 9.5 MiB/s | 544.8 KiB | 00m00s [151/155] publicsuffix-list-dafsa-0:202 100% | 1.5 MiB/s | 58.3 KiB | 00m00s [152/155] libssh-config-0:0.11.1-1.fc42 100% | 260.7 KiB/s | 9.4 KiB | 00m00s [153/155] cyrus-sasl-lib-0:2.1.28-28.fc 100% | 13.1 MiB/s | 762.7 KiB | 00m00s [154/155] libtool-ltdl-0:2.5.4-3.fc42.x 100% | 925.3 KiB/s | 34.2 KiB | 00m00s [155/155] libevent-0:2.1.12-14.fc41.x86 100% | 4.8 MiB/s | 257.5 KiB | 00m00s -------------------------------------------------------------------------------- [155/155] Total 100% | 18.1 MiB/s | 51.5 MiB | 00m03s Running transaction Importing OpenPGP key 0x105EF944: UserID : "Fedora (42) " Fingerprint: B0F4950458F69E1150C6C5EDC8AC4916105EF944 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-42-primary The key was successfully imported. Importing OpenPGP key 0x105EF944: UserID : "Fedora (42) " Fingerprint: B0F4950458F69E1150C6C5EDC8AC4916105EF944 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-42-primary The key was successfully imported. Importing OpenPGP key 0xE99D6AD1: UserID : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. Importing OpenPGP key 0x31645531: UserID : "Fedora (43) " Fingerprint: C6E7F081CF80E13146676E88829B606631645531 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-43-primary The key was successfully imported. [ 1/157] Verify package files 100% | 752.0 B/s | 155.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-34.fc42.x86_64 >>> Finished pre-transaction scriptlet: filesystem-0:3.18-34.fc42.x86_64 >>> [RPM] /var/lib/mock/fedora-rawhide-x86_64-1737200356.388020/root/var/cache/d [ 2/157] Prepare transaction 100% | 1.8 KiB/s | 155.0 B | 00m00s [ 3/157] Installing libgcc-0:15.0.1-0. 100% | 131.2 MiB/s | 268.6 KiB | 00m00s [ 4/157] Installing libssh-config-0:0. 100% | 796.9 KiB/s | 816.0 B | 00m00s [ 5/157] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 6/157] Installing fedora-release-ide 100% | 0.0 B/s | 976.0 B | 00m00s [ 7/157] Installing fedora-repos-rawhi 100% | 2.4 MiB/s | 2.4 KiB | 00m00s [ 8/157] Installing fedora-gpg-keys-0: 100% | 19.0 MiB/s | 174.8 KiB | 00m00s [ 9/157] Installing fedora-repos-0:42- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 10/157] Installing fedora-release-com 100% | 11.8 MiB/s | 24.1 KiB | 00m00s [ 11/157] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 12/157] Installing setup-0:2.15.0-9.f 100% | 44.3 MiB/s | 726.5 KiB | 00m00s [ 13/157] Installing filesystem-0:3.18- 100% | 1.4 MiB/s | 212.4 KiB | 00m00s [ 14/157] Installing basesystem-0:11-21 100% | 0.0 B/s | 124.0 B | 00m00s [ 15/157] Installing pkgconf-m4-0:2.3.0 100% | 14.5 MiB/s | 14.8 KiB | 00m00s [ 16/157] Installing pcre2-syntax-0:10. 100% | 124.1 MiB/s | 254.1 KiB | 00m00s [ 17/157] Installing ncurses-base-0:6.5 100% | 38.2 MiB/s | 351.7 KiB | 00m00s [ 18/157] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 19/157] Installing ncurses-libs-0:6.5 100% | 137.0 MiB/s | 981.8 KiB | 00m00s [ 20/157] Installing glibc-0:2.40.9000- 100% | 184.9 MiB/s | 6.7 MiB | 00m00s [ 21/157] Installing bash-0:5.2.37-1.fc 100% | 151.3 MiB/s | 8.2 MiB | 00m00s [ 22/157] Installing glibc-common-0:2.4 100% | 33.6 MiB/s | 1.0 MiB | 00m00s [ 23/157] Installing glibc-gconv-extra- 100% | 113.8 MiB/s | 8.1 MiB | 00m00s [ 24/157] Installing zlib-ng-compat-0:2 100% | 68.0 MiB/s | 139.3 KiB | 00m00s [ 25/157] Installing bzip2-libs-0:1.0.8 100% | 79.9 MiB/s | 81.8 KiB | 00m00s [ 26/157] Installing xz-libs-1:5.6.3-2. 100% | 107.2 MiB/s | 219.5 KiB | 00m00s [ 27/157] Installing popt-0:1.19-7.fc41 100% | 23.4 MiB/s | 143.5 KiB | 00m00s [ 28/157] Installing readline-0:8.2-11. 100% | 120.9 MiB/s | 495.3 KiB | 00m00s [ 29/157] Installing libuuid-0:2.40.4-1 100% | 39.9 MiB/s | 40.9 KiB | 00m00s [ 30/157] Installing libblkid-0:2.40.4- 100% | 84.0 MiB/s | 258.2 KiB | 00m00s [ 31/157] Installing gmp-1:6.3.0-2.fc41 100% | 158.9 MiB/s | 813.7 KiB | 00m00s [ 32/157] Installing libattr-0:2.5.2-4. 100% | 28.8 MiB/s | 29.5 KiB | 00m00s [ 33/157] Installing libacl-0:2.3.2-2.f 100% | 39.8 MiB/s | 40.7 KiB | 00m00s [ 34/157] Installing libxcrypt-0:4.4.38 100% | 89.4 MiB/s | 274.7 KiB | 00m00s [ 35/157] Installing libstdc++-0:15.0.1 100% | 196.8 MiB/s | 2.8 MiB | 00m00s [ 36/157] Installing libzstd-0:1.5.6-2. 100% | 194.6 MiB/s | 797.2 KiB | 00m00s [ 37/157] Installing elfutils-libelf-0: 100% | 194.5 MiB/s | 1.2 MiB | 00m00s [ 38/157] Installing libeconf-0:0.7.5-1 100% | 33.4 MiB/s | 68.4 KiB | 00m00s [ 39/157] Installing gdbm-libs-1:1.23-7 100% | 60.3 MiB/s | 123.6 KiB | 00m00s [ 40/157] Installing dwz-0:0.15-8.fc42. 100% | 16.3 MiB/s | 300.6 KiB | 00m00s [ 41/157] Installing mpfr-0:4.2.1-5.fc4 100% | 203.5 MiB/s | 833.7 KiB | 00m00s [ 42/157] Installing gawk-0:5.3.0-4.fc4 100% | 78.7 MiB/s | 1.7 MiB | 00m00s [ 43/157] Installing unzip-0:6.0-65.fc4 100% | 26.2 MiB/s | 401.7 KiB | 00m00s [ 44/157] Installing file-libs-0:5.45-8 100% | 496.9 MiB/s | 9.9 MiB | 00m00s [ 45/157] Installing file-0:5.45-8.fc42 100% | 3.4 MiB/s | 105.2 KiB | 00m00s [ 46/157] Installing crypto-policies-0: 100% | 12.3 MiB/s | 163.7 KiB | 00m00s [ 47/157] Installing pcre2-0:10.44-1.fc 100% | 213.2 MiB/s | 654.9 KiB | 00m00s [ 48/157] Installing grep-0:3.11-9.fc41 100% | 43.6 MiB/s | 1.0 MiB | 00m00s [ 49/157] Installing xz-1:5.6.3-2.fc42. 100% | 56.2 MiB/s | 1.2 MiB | 00m00s [ 50/157] Installing libcap-ng-0:0.8.5- 100% | 69.4 MiB/s | 71.0 KiB | 00m00s [ 51/157] Installing audit-libs-0:4.0.3 100% | 172.6 MiB/s | 353.4 KiB | 00m00s [ 52/157] Installing pam-libs-0:1.7.0-3 100% | 69.2 MiB/s | 141.8 KiB | 00m00s [ 53/157] Installing libcap-0:2.71-2.fc 100% | 14.9 MiB/s | 228.3 KiB | 00m00s [ 54/157] Installing systemd-libs-0:257 100% | 222.1 MiB/s | 2.2 MiB | 00m00s [ 55/157] Installing libsmartcols-0:2.4 100% | 173.2 MiB/s | 177.4 KiB | 00m00s [ 56/157] Installing libsepol-0:3.8-0.r 100% | 198.5 MiB/s | 813.3 KiB | 00m00s [ 57/157] Installing libselinux-0:3.8-0 100% | 94.2 MiB/s | 192.9 KiB | 00m00s [ 58/157] Installing sed-0:4.9-3.fc41.x 100% | 42.5 MiB/s | 869.7 KiB | 00m00s [ 59/157] Installing findutils-1:4.10.0 100% | 80.8 MiB/s | 1.9 MiB | 00m00s [ 60/157] Installing libmount-0:2.40.4- 100% | 172.8 MiB/s | 354.0 KiB | 00m00s [ 61/157] Installing lz4-libs-0:1.10.0- 100% | 143.1 MiB/s | 146.6 KiB | 00m00s [ 62/157] Installing lua-libs-0:5.4.7-1 100% | 139.7 MiB/s | 286.2 KiB | 00m00s [ 63/157] Installing libffi-0:3.4.6-3.f 100% | 85.7 MiB/s | 87.8 KiB | 00m00s [ 64/157] Installing alternatives-0:1.3 100% | 5.0 MiB/s | 66.4 KiB | 00m00s [ 65/157] Installing libtasn1-0:4.19.0- 100% | 86.7 MiB/s | 177.5 KiB | 00m00s [ 66/157] Installing p11-kit-0:0.25.5-4 100% | 82.1 MiB/s | 2.2 MiB | 00m00s [ 67/157] Installing libcom_err-0:1.47. 100% | 65.5 MiB/s | 67.1 KiB | 00m00s [ 68/157] Installing libunistring-0:1.1 100% | 247.2 MiB/s | 1.7 MiB | 00m00s [ 69/157] Installing libidn2-0:2.3.7-2. 100% | 65.4 MiB/s | 335.1 KiB | 00m00s [ 70/157] Installing libpsl-0:0.21.5-4. 100% | 79.7 MiB/s | 81.7 KiB | 00m00s [ 71/157] Installing p11-kit-trust-0:0. 100% | 12.4 MiB/s | 405.5 KiB | 00m00s [ 72/157] Installing zstd-0:1.5.6-2.fc4 100% | 76.9 MiB/s | 1.7 MiB | 00m00s [ 73/157] Installing util-linux-core-0: 100% | 61.8 MiB/s | 1.5 MiB | 00m00s [ 74/157] Installing tar-2:1.35-4.fc41. 100% | 113.8 MiB/s | 3.0 MiB | 00m00s [ 75/157] Installing libsemanage-0:3.8- 100% | 100.0 MiB/s | 307.1 KiB | 00m00s [ 76/157] Installing shadow-utils-2:4.1 100% | 86.1 MiB/s | 4.0 MiB | 00m00s [ 77/157] Installing zip-0:3.0-42.fc42. 100% | 38.0 MiB/s | 699.8 KiB | 00m00s [ 78/157] Installing gdbm-1:1.23-7.fc41 100% | 23.9 MiB/s | 465.8 KiB | 00m00s [ 79/157] Installing cyrus-sasl-lib-0:2 100% | 91.6 MiB/s | 2.3 MiB | 00m00s [ 80/157] Installing libfdisk-0:2.40.4- 100% | 180.4 MiB/s | 369.4 KiB | 00m00s [ 81/157] Installing libxml2-0:2.12.9-1 100% | 88.7 MiB/s | 1.7 MiB | 00m00s [ 82/157] Installing bzip2-0:1.0.8-19.f 100% | 7.0 MiB/s | 100.2 KiB | 00m00s [ 83/157] Installing add-determinism-0: 100% | 112.8 MiB/s | 2.4 MiB | 00m00s [ 84/157] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 85/157] Installing sqlite-libs-0:3.47 100% | 250.7 MiB/s | 1.5 MiB | 00m00s [ 86/157] Installing ed-0:1.21-1.fc42.x 100% | 10.3 MiB/s | 147.1 KiB | 00m00s [ 87/157] Installing patch-0:2.7.6-25.f 100% | 18.7 MiB/s | 268.2 KiB | 00m00s [ 88/157] Installing filesystem-srpm-ma 100% | 38.0 MiB/s | 38.9 KiB | 00m00s [ 89/157] Installing elfutils-default-y 100% | 157.2 KiB/s | 2.0 KiB | 00m00s [ 90/157] Installing elfutils-libs-0:0. 100% | 162.3 MiB/s | 664.7 KiB | 00m00s [ 91/157] Installing cpio-0:2.15-2.fc41 100% | 50.0 MiB/s | 1.1 MiB | 00m00s [ 92/157] Installing diffutils-0:3.10-8 100% | 72.3 MiB/s | 1.6 MiB | 00m00s [ 93/157] Installing libgomp-0:15.0.1-0 100% | 172.8 MiB/s | 531.0 KiB | 00m00s [ 94/157] Installing json-c-0:0.18-1.fc 100% | 82.6 MiB/s | 84.6 KiB | 00m00s [ 95/157] Installing jansson-0:2.14-1.f 100% | 92.3 MiB/s | 94.5 KiB | 00m00s [ 96/157] Installing libpkgconf-0:2.3.0 100% | 77.5 MiB/s | 79.3 KiB | 00m00s [ 97/157] Installing pkgconf-0:2.3.0-1. 100% | 6.4 MiB/s | 91.1 KiB | 00m00s [ 98/157] Installing pkgconf-pkg-config 100% | 136.4 KiB/s | 1.8 KiB | 00m00s [ 99/157] Installing keyutils-libs-0:1. 100% | 54.5 MiB/s | 55.8 KiB | 00m00s [100/157] Installing libverto-0:0.3.2-9 100% | 30.5 MiB/s | 31.3 KiB | 00m00s [101/157] Installing xxhash-libs-0:0.8. 100% | 87.8 MiB/s | 89.9 KiB | 00m00s [102/157] Installing libbrotli-0:1.1.0- 100% | 205.0 MiB/s | 839.9 KiB | 00m00s [103/157] Installing libnghttp2-0:1.64. 100% | 85.7 MiB/s | 175.6 KiB | 00m00s [104/157] Installing libtool-ltdl-0:2.5 100% | 67.6 MiB/s | 69.2 KiB | 00m00s [105/157] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [106/157] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [107/157] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [108/157] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [109/157] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [110/157] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [111/157] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [112/157] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [113/157] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [114/157] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [115/157] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [116/157] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [117/157] Installing coreutils-common-0 100% | 243.3 MiB/s | 11.2 MiB | 00m00s [118/157] Installing openssl-libs-1:3.2 100% | 307.6 MiB/s | 7.7 MiB | 00m00s [119/157] Installing coreutils-0:9.5-12 100% | 102.9 MiB/s | 5.6 MiB | 00m00s [120/157] Installing ca-certificates-0: 100% | 1.1 MiB/s | 2.4 MiB | 00m02s [121/157] Installing krb5-libs-0:1.21.3 100% | 177.3 MiB/s | 2.3 MiB | 00m00s [122/157] Installing libarchive-0:3.7.7 100% | 182.5 MiB/s | 934.2 KiB | 00m00s [123/157] Installing libtirpc-0:1.3.6-1 100% | 97.4 MiB/s | 199.4 KiB | 00m00s [124/157] Installing gzip-0:1.13-2.fc41 100% | 22.7 MiB/s | 394.6 KiB | 00m00s [125/157] Installing authselect-libs-0: 100% | 81.8 MiB/s | 837.2 KiB | 00m00s [126/157] Installing cracklib-0:2.9.11- 100% | 24.4 MiB/s | 250.3 KiB | 00m00s [127/157] Installing libpwquality-0:1.4 100% | 20.0 MiB/s | 430.1 KiB | 00m00s [128/157] Installing libnsl2-0:2.0.1-2. 100% | 28.8 MiB/s | 59.1 KiB | 00m00s [129/157] Installing pam-0:1.7.0-3.fc42 100% | 65.3 MiB/s | 1.9 MiB | 00m00s [130/157] Installing libssh-0:0.11.1-1. 100% | 186.1 MiB/s | 571.7 KiB | 00m00s [131/157] Installing rpm-sequoia-0:1.7. 100% | 258.2 MiB/s | 2.3 MiB | 00m00s [132/157] Installing rpm-libs-0:4.20.0- 100% | 173.8 MiB/s | 711.9 KiB | 00m00s [133/157] Installing rpm-build-libs-0:4 100% | 100.0 MiB/s | 204.9 KiB | 00m00s [134/157] Installing libevent-0:2.1.12- 100% | 219.6 MiB/s | 899.5 KiB | 00m00s [135/157] Installing openldap-0:2.6.9-2 100% | 162.8 MiB/s | 666.9 KiB | 00m00s [136/157] Installing libcurl-0:8.11.1-2 100% | 199.8 MiB/s | 818.4 KiB | 00m00s [137/157] Installing elfutils-debuginfo 100% | 5.5 MiB/s | 83.8 KiB | 00m00s [138/157] Installing elfutils-0:0.192-7 100% | 110.2 MiB/s | 2.6 MiB | 00m00s [139/157] Installing binutils-0:2.43.50 100% | 222.4 MiB/s | 25.8 MiB | 00m00s [140/157] Installing gdb-minimal-0:15.2 100% | 231.4 MiB/s | 12.7 MiB | 00m00s [141/157] Installing debugedit-0:5.1-4. 100% | 14.2 MiB/s | 203.1 KiB | 00m00s [142/157] Installing curl-0:8.11.1-2.fc 100% | 13.1 MiB/s | 454.5 KiB | 00m00s [143/157] Installing rpm-0:4.20.0-6.fc4 100% | 58.2 MiB/s | 2.5 MiB | 00m00s [144/157] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [145/157] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [146/157] Installing zig-srpm-macros-0: 100% | 1.6 MiB/s | 1.7 KiB | 00m00s [147/157] Installing fonts-srpm-macros- 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [148/157] Installing forge-srpm-macros- 100% | 39.3 MiB/s | 40.3 KiB | 00m00s [149/157] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [150/157] Installing python-srpm-macros 100% | 50.9 MiB/s | 52.2 KiB | 00m00s [151/157] Installing redhat-rpm-config- 100% | 63.0 MiB/s | 193.5 KiB | 00m00s [152/157] Installing rpm-build-0:4.20.0 100% | 11.2 MiB/s | 194.5 KiB | 00m00s [153/157] Installing pyproject-srpm-mac 100% | 1.2 MiB/s | 2.5 KiB | 00m00s [154/157] Installing util-linux-0:2.40. 100% | 63.1 MiB/s | 3.6 MiB | 00m00s [155/157] Installing authselect-0:1.5.0 100% | 9.9 MiB/s | 161.9 KiB | 00m00s [156/157] Installing which-0:2.21-42.fc 100% | 5.7 MiB/s | 82.4 KiB | 00m00s [157/157] Installing info-0:7.2-1.fc42. 100% | 117.7 KiB/s | 355.6 KiB | 00m03s Warning: skipped OpenPGP checks for 4 packages from repository: copr_rezso_ML Complete! Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-0.5.0-1.fc42.x86_64 alternatives-1.31-2.fc42.x86_64 ansible-srpm-macros-1-16.fc41.noarch audit-libs-4.0.3-1.fc42.x86_64 authselect-1.5.0-8.fc42.x86_64 authselect-libs-1.5.0-8.fc42.x86_64 basesystem-11-21.fc41.noarch bash-5.2.37-1.fc42.x86_64 binutils-2.43.50-9.fc42.x86_64 build-reproducibility-srpm-macros-0.5.0-1.fc42.noarch bzip2-1.0.8-19.fc41.x86_64 bzip2-libs-1.0.8-19.fc41.x86_64 ca-certificates-2024.2.69_v8.0.401-4.fc42.noarch coreutils-9.5-12.fc42.x86_64 coreutils-common-9.5-12.fc42.x86_64 cpio-2.15-2.fc41.x86_64 cracklib-2.9.11-6.fc41.x86_64 crypto-policies-20241128-1.gitbb7b0b0.fc42.noarch curl-8.11.1-2.fc42.x86_64 cyrus-sasl-lib-2.1.28-28.fc42.x86_64 debugedit-5.1-4.fc42.x86_64 diffutils-3.10-8.fc41.x86_64 dwz-0.15-8.fc42.x86_64 ed-1.21-1.fc42.x86_64 efi-srpm-macros-5-13.fc42.noarch elfutils-0.192-7.fc42.x86_64 elfutils-debuginfod-client-0.192-7.fc42.x86_64 elfutils-default-yama-scope-0.192-7.fc42.noarch elfutils-libelf-0.192-7.fc42.x86_64 elfutils-libs-0.192-7.fc42.x86_64 fedora-gpg-keys-42-0.4.noarch fedora-release-42-0.13.noarch fedora-release-common-42-0.13.noarch fedora-release-identity-basic-42-0.13.noarch fedora-repos-42-0.4.noarch fedora-repos-rawhide-42-0.4.noarch file-5.45-8.fc42.x86_64 file-libs-5.45-8.fc42.x86_64 filesystem-3.18-34.fc42.x86_64 filesystem-srpm-macros-3.18-34.fc42.noarch findutils-4.10.0-4.fc41.x86_64 fonts-srpm-macros-2.0.5-19.fc42.noarch forge-srpm-macros-0.4.0-1.fc42.noarch fpc-srpm-macros-1.3-13.fc41.noarch gawk-5.3.0-4.fc41.x86_64 gdb-minimal-15.2-4.fc42.x86_64 gdbm-1.23-7.fc41.x86_64 gdbm-libs-1.23-7.fc41.x86_64 ghc-srpm-macros-1.9.2-1.fc42.noarch glibc-2.40.9000-99.fc42.x86_64 glibc-common-2.40.9000-99.fc42.x86_64 glibc-gconv-extra-2.40.9000-99.fc42.x86_64 glibc-minimal-langpack-2.40.9000-99.fc42.x86_64 gmp-6.3.0-2.fc41.x86_64 gnat-srpm-macros-6-6.fc41.noarch go-srpm-macros-3.6.0-5.fc42.noarch gpg-pubkey-105ef944-65ca83d1 gpg-pubkey-31645531-66b6dccf gpg-pubkey-e99d6ad1-64d2612c grep-3.11-9.fc41.x86_64 gzip-1.13-2.fc41.x86_64 info-7.2-1.fc42.x86_64 jansson-2.14-1.fc42.x86_64 json-c-0.18-1.fc42.x86_64 kernel-srpm-macros-1.0-24.fc41.noarch keyutils-libs-1.6.3-4.fc41.x86_64 krb5-libs-1.21.3-3.fc42.x86_64 libacl-2.3.2-2.fc41.x86_64 libarchive-3.7.7-1.fc42.x86_64 libattr-2.5.2-4.fc41.x86_64 libblkid-2.40.4-1.fc42.x86_64 libbrotli-1.1.0-5.fc41.x86_64 libcap-2.71-2.fc42.x86_64 libcap-ng-0.8.5-3.fc41.x86_64 libcom_err-1.47.2-2.fc42.x86_64 libcurl-8.11.1-2.fc42.x86_64 libeconf-0.7.5-1.fc42.x86_64 libevent-2.1.12-14.fc41.x86_64 libfdisk-2.40.4-1.fc42.x86_64 libffi-3.4.6-3.fc42.x86_64 libgcc-15.0.1-0.3.fc42.x86_64 libgomp-15.0.1-0.3.fc42.x86_64 libidn2-2.3.7-2.fc41.x86_64 libmount-2.40.4-1.fc42.x86_64 libnghttp2-1.64.0-1.fc42.x86_64 libnsl2-2.0.1-2.fc41.x86_64 libpkgconf-2.3.0-1.fc42.x86_64 libpsl-0.21.5-4.fc41.x86_64 libpwquality-1.4.5-11.fc41.x86_64 libselinux-3.8-0.rc3.1.fc42.2.x86_64 libsemanage-3.8-0.rc3.1.fc42.x86_64 libsepol-3.8-0.rc3.1.fc42.x86_64 libsmartcols-2.40.4-1.fc42.x86_64 libssh-0.11.1-1.fc42.x86_64 libssh-config-0.11.1-1.fc42.noarch libstdc++-15.0.1-0.3.fc42.x86_64 libtasn1-4.19.0-9.fc41.x86_64 libtirpc-1.3.6-1.rc3.fc42.x86_64 libtool-ltdl-2.5.4-3.fc42.x86_64 libunistring-1.1-8.fc41.x86_64 libuuid-2.40.4-1.fc42.x86_64 libverto-0.3.2-9.fc41.x86_64 libxcrypt-4.4.38-3.fc42.x86_64 libxml2-2.12.9-1.fc42.x86_64 libzstd-1.5.6-2.fc41.x86_64 lua-libs-5.4.7-1.fc42.x86_64 lua-srpm-macros-1-14.fc41.noarch lz4-libs-1.10.0-1.fc41.x86_64 mpfr-4.2.1-5.fc41.x86_64 ncurses-base-6.5-2.20240629.fc41.noarch ncurses-libs-6.5-2.20240629.fc41.x86_64 ocaml-srpm-macros-10-3.fc41.noarch openblas-srpm-macros-2-18.fc41.noarch openldap-2.6.9-2.fc42.x86_64 openssl-libs-3.2.2-11.fc42.x86_64 p11-kit-0.25.5-4.fc42.x86_64 p11-kit-trust-0.25.5-4.fc42.x86_64 package-notes-srpm-macros-0.5-12.fc41.noarch pam-1.7.0-3.fc42.x86_64 pam-libs-1.7.0-3.fc42.x86_64 patch-2.7.6-25.fc41.x86_64 pcre2-10.44-1.fc41.1.x86_64 pcre2-syntax-10.44-1.fc41.1.noarch perl-srpm-macros-1-56.fc41.noarch pkgconf-2.3.0-1.fc42.x86_64 pkgconf-m4-2.3.0-1.fc42.noarch pkgconf-pkg-config-2.3.0-1.fc42.x86_64 popt-1.19-7.fc41.x86_64 publicsuffix-list-dafsa-20240107-4.fc41.noarch pyproject-srpm-macros-1.16.4-1.fc42.noarch python-srpm-macros-3.13-3.fc41.noarch qt5-srpm-macros-5.15.15-1.fc42.noarch qt6-srpm-macros-6.8.1-4.fc42.noarch readline-8.2-11.fc42.x86_64 redhat-rpm-config-300-1.fc42.noarch rpm-4.20.0-6.fc42.x86_64 rpm-build-4.20.0-6.fc42.x86_64 rpm-build-libs-4.20.0-6.fc42.x86_64 rpm-libs-4.20.0-6.fc42.x86_64 rpm-sequoia-1.7.0-3.fc42.x86_64 rust-srpm-macros-26.3-3.fc42.noarch sed-4.9-3.fc41.x86_64 setup-2.15.0-9.fc42.noarch shadow-utils-4.17.0-3.fc42.x86_64 sqlite-libs-3.47.2-2.fc42.x86_64 systemd-libs-257.2-7.fc42.x86_64 tar-1.35-4.fc41.x86_64 unzip-6.0-65.fc42.x86_64 util-linux-2.40.4-1.fc42.x86_64 util-linux-core-2.40.4-1.fc42.x86_64 which-2.21-42.fc41.x86_64 xxhash-libs-0.8.3-1.fc42.x86_64 xz-5.6.3-2.fc42.x86_64 xz-libs-5.6.3-2.fc42.x86_64 zig-srpm-macros-1-3.fc41.noarch zip-3.0-42.fc42.x86_64 zlib-ng-compat-2.2.3-1.fc42.x86_64 zstd-1.5.6-2.fc41.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1737200356.388020/root/var/log/dnf5.log INFO: chroot_scan: creating tarball /var/lib/copr-rpmbuild/results/chroot_scan.tar.gz /bin/tar: Removing leading `/' from member names Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-ea1cqski/nextpnr/nextpnr.spec) Config(child) 0 minutes 32 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm) Config(fedora-rawhide-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1737200356.388020/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1737200356.388020/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1737200356.388020/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.20.0-1.fc42.x86_64 rpm-sequoia-1.7.0-3.fc42.x86_64 dnf5-5.2.8.1-2.fc42.x86_64 dnf5-plugins-5.2.8.1-2.fc42.x86_64 Finish: chroot init Start: build phase for nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Start: build setup for nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Updating and loading repositories: Copr repository 100% | 69.2 KiB/s | 2.1 KiB | 00m00s fedora 100% | 1.2 MiB/s | 28.7 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 57.0 KiB/s | 1.8 KiB | 00m00s Additional repo copr_rezso_ML 100% | 61.1 KiB/s | 1.8 KiB | 00m00s Additional repo http_developer_downloa 100% | 27.0 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 29.3 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 29.8 KiB/s | 3.5 KiB | 00m00s fedora 100% | 550.7 KiB/s | 313.4 KiB | 00m01s Repositories loaded. Package Arch Version Repository Size Installing: boost-devel x86_64 1.83.0-10.fc42 fedora 143.0 MiB boost-filesystem x86_64 1.83.0-10.fc42 fedora 144.0 KiB boost-iostreams x86_64 1.83.0-10.fc42 fedora 91.7 KiB boost-program-options x86_64 1.83.0-10.fc42 fedora 263.8 KiB boost-thread x86_64 1.83.0-10.fc42 fedora 131.9 KiB capnproto x86_64 1.0.1-4.fc41 fedora 793.3 KiB capnproto-devel x86_64 1.0.1-4.fc41 fedora 2.2 MiB cmake x86_64 3.31.4-1.fc42 fedora 32.9 MiB dnf5 x86_64 5.2.8.1-4.fc42 fedora 2.1 MiB eigen3-devel noarch 3.4.0-16.fc41 fedora 8.4 MiB gcc-c++ x86_64 15.0.1-0.3.fc42 fedora 40.8 MiB git x86_64 2.48.1-1.fc42 fedora 85.3 KiB icestorm x86_64 0-20241211.0.git71907709.fc42 copr_base 110.7 MiB json11-devel x86_64 1.0.0-10.fc39 copr_base 9.3 KiB make x86_64 1:4.4.1-9.fc42 fedora 1.8 MiB prjapicula noarch 0.15-20250105.1.git53d746d6.fc42 copr_base 14.2 MiB prjoxide-devel x86_64 0-20240105.0.git30712ff9.fc40 copr_base 28.6 KiB prjtrellis-devel x86_64 1.4-20250111.0.git14ac883f.fc42 copr_base 74.4 KiB prjtrellis-python3 x86_64 1.4-20250111.0.git14ac883f.fc42 copr_base 3.4 MiB prjxray x86_64 0.0.1-20250111.0.git3a95169e.fc42 copr_base 523.7 KiB pybind11-devel x86_64 2.13.6-1.fc42 fedora 847.8 KiB pypy3.10 x86_64 7.3.17-3.3.10.fc42 fedora 17.4 KiB python3-devel x86_64 3.13.1-2.fc42 fedora 1.8 MiB tbb-devel x86_64 2022.0.0-2.fc42 fedora 1.4 MiB tcl-devel x86_64 1:8.6.15-6.fc42 fedora 793.3 KiB tk-devel x86_64 1:8.6.15-1.fc42 fedora 985.4 KiB wget2-wget x86_64 2.2.0-1.fc42 fedora 42.0 B Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-13.fc41 fedora 192.7 KiB annobin-docs noarch 12.81-1.fc42 fedora 98.6 KiB annobin-plugin-gcc x86_64 12.81-1.fc42 fedora 993.7 KiB boost x86_64 1.83.0-10.fc42 fedora 1.3 KiB boost-atomic x86_64 1.83.0-10.fc42 fedora 23.7 KiB boost-chrono x86_64 1.83.0-10.fc42 fedora 39.8 KiB boost-container x86_64 1.83.0-10.fc42 fedora 67.7 KiB boost-context x86_64 1.83.0-10.fc42 fedora 15.5 KiB boost-contract x86_64 1.83.0-10.fc42 fedora 99.8 KiB boost-coroutine x86_64 1.83.0-10.fc42 fedora 23.8 KiB boost-date-time x86_64 1.83.0-10.fc42 fedora 15.3 KiB boost-fiber x86_64 1.83.0-10.fc42 fedora 79.8 KiB boost-graph x86_64 1.83.0-10.fc42 fedora 332.2 KiB boost-json x86_64 1.83.0-10.fc42 fedora 328.0 KiB boost-locale x86_64 1.83.0-10.fc42 fedora 592.3 KiB boost-log x86_64 1.83.0-10.fc42 fedora 1.4 MiB boost-math x86_64 1.83.0-10.fc42 fedora 647.4 KiB boost-nowide x86_64 1.83.0-10.fc42 fedora 27.7 KiB boost-numpy3 x86_64 1.83.0-10.fc42 fedora 55.7 KiB boost-python3 x86_64 1.83.0-10.fc42 fedora 247.2 KiB boost-random x86_64 1.83.0-10.fc42 fedora 27.8 KiB boost-regex x86_64 1.83.0-10.fc42 fedora 267.7 KiB boost-serialization x86_64 1.83.0-10.fc42 fedora 426.2 KiB boost-stacktrace x86_64 1.83.0-10.fc42 fedora 64.2 KiB boost-system x86_64 1.83.0-10.fc42 fedora 15.3 KiB boost-test x86_64 1.83.0-10.fc42 fedora 622.6 KiB boost-timer x86_64 1.83.0-10.fc42 fedora 23.7 KiB boost-type_erasure x86_64 1.83.0-10.fc42 fedora 55.8 KiB boost-wave x86_64 1.83.0-10.fc42 fedora 624.5 KiB brotli x86_64 1.1.0-5.fc41 fedora 31.8 KiB brotli-devel x86_64 1.1.0-5.fc41 fedora 65.6 KiB bzip2-devel x86_64 1.0.8-19.fc41 fedora 309.8 KiB cairo x86_64 1.18.2-2.fc42 fedora 1.7 MiB cairo-devel x86_64 1.18.2-2.fc42 fedora 2.3 MiB capnproto-libs x86_64 1.0.1-4.fc41 fedora 5.0 MiB cmake-data noarch 3.31.4-1.fc42 fedora 8.5 MiB cmake-filesystem x86_64 3.31.4-1.fc42 fedora 0.0 B cmake-rpm-macros noarch 3.31.4-1.fc42 fedora 7.5 KiB cpp x86_64 15.0.1-0.3.fc42 fedora 37.6 MiB dbus x86_64 1:1.16.0-1.fc42 fedora 0.0 B dbus-broker x86_64 36-4.fc41 fedora 382.8 KiB dbus-common noarch 1:1.16.0-1.fc42 fedora 11.2 KiB default-fonts-core-sans noarch 4.2-2.fc42 fedora 11.9 KiB emacs-filesystem noarch 1:30.0-3.fc41 fedora 0.0 B expat x86_64 2.6.4-1.fc42 fedora 285.5 KiB fasm-python3 noarch 0.0.2-20220725.4.gitffafe821.fc42 copr_base 127.4 KiB flexiblas x86_64 3.4.4-6.fc42 fedora 48.5 KiB flexiblas-netlib x86_64 3.4.4-6.fc42 fedora 10.6 MiB flexiblas-openblas-openmp x86_64 3.4.4-6.fc42 fedora 42.3 KiB fmt x86_64 11.1.2-1.fc42 fedora 262.4 KiB fontconfig x86_64 2.15.0-8.fc41 fedora 791.9 KiB fontconfig-devel x86_64 2.15.0-8.fc41 fedora 117.2 KiB fonts-filesystem noarch 1:2.0.5-19.fc42 fedora 0.0 B freetype x86_64 2.13.3-1.fc42 fedora 850.5 KiB freetype-devel x86_64 2.13.3-1.fc42 fedora 8.5 MiB gcc x86_64 15.0.1-0.3.fc42 fedora 110.1 MiB gcc-plugin-annobin x86_64 15.0.1-0.3.fc42 fedora 59.6 KiB gettext x86_64 0.23.1-1.fc42 fedora 5.1 MiB gettext-envsubst x86_64 0.23.1-1.fc42 fedora 77.4 KiB gettext-libs x86_64 0.23.1-1.fc42 fedora 2.0 MiB gettext-runtime x86_64 0.23.1-1.fc42 fedora 449.6 KiB gflags x86_64 2.2.2-15.fc41 fedora 293.6 KiB git-core x86_64 2.48.1-1.fc42 fedora 22.6 MiB git-core-doc noarch 2.48.1-1.fc42 fedora 17.4 MiB glib2 x86_64 2.83.0-3.fc42 fedora 14.6 MiB glib2-devel x86_64 2.83.0-3.fc42 fedora 15.8 MiB glibc-devel x86_64 2.40.9000-99.fc42 copr_rezso_ML 2.3 MiB gnupg2 x86_64 2.4.5-5.fc42 fedora 9.5 MiB gnutls x86_64 3.8.8-1.fc42 fedora 3.2 MiB gnutls-dane x86_64 3.8.8-1.fc42 fedora 70.0 KiB google-noto-fonts-common noarch 20250101-1.fc42 fedora 17.7 KiB google-noto-sans-vf-fonts noarch 20250101-1.fc42 fedora 1.4 MiB gpgme x86_64 1.24.0-1.fc42 fedora 586.7 KiB graphite2 x86_64 1.3.14-16.fc41 fedora 192.0 KiB graphite2-devel x86_64 1.3.14-16.fc41 fedora 49.1 KiB groff-base x86_64 1.23.0-7.fc41 fedora 3.8 MiB harfbuzz x86_64 10.2.0-1.fc42 fedora 2.7 MiB harfbuzz-cairo x86_64 10.2.0-1.fc42 fedora 54.3 KiB harfbuzz-devel x86_64 10.2.0-1.fc42 fedora 5.1 MiB harfbuzz-icu x86_64 10.2.0-1.fc42 fedora 18.3 KiB hiredis x86_64 1.2.0-4.fc42 fedora 114.0 KiB hwloc-libs x86_64 2.11.2-1.fc42 fedora 2.9 MiB json11 x86_64 1.0.0-10.fc39 copr_base 79.9 KiB jsoncpp x86_64 1.9.5-8.fc41 fedora 253.4 KiB kernel-headers x86_64 6.13.0-0.rc7.55.fc42 fedora 6.5 MiB less x86_64 668-1.fc42 fedora 406.4 KiB libX11 x86_64 1.8.10-2.fc42 fedora 1.3 MiB libX11-common noarch 1.8.10-2.fc42 fedora 1.1 MiB libX11-devel x86_64 1.8.10-2.fc42 fedora 1.0 MiB libX11-xcb x86_64 1.8.10-2.fc42 fedora 15.0 KiB libXau x86_64 1.0.12-1.fc42 fedora 75.8 KiB libXau-devel x86_64 1.0.12-1.fc42 fedora 7.5 KiB libXext x86_64 1.3.6-2.fc41 fedora 90.1 KiB libXext-devel x86_64 1.3.6-2.fc41 fedora 98.9 KiB libXft x86_64 2.3.8-7.fc41 fedora 164.5 KiB libXft-devel x86_64 2.3.8-7.fc41 fedora 31.7 KiB libXrender x86_64 0.9.12-1.fc42 fedora 48.8 KiB libXrender-devel x86_64 0.9.12-1.fc42 fedora 50.1 KiB libassuan x86_64 2.5.7-2.fc41 fedora 163.8 KiB libb2 x86_64 0.98.1-12.fc41 fedora 42.2 KiB libblkid-devel x86_64 2.40.4-1.fc42 fedora 44.9 KiB libcbor x86_64 0.11.0-2.fc41 fedora 73.9 KiB libdnf5 x86_64 5.2.8.1-4.fc42 fedora 3.5 MiB libdnf5-cli x86_64 5.2.8.1-4.fc42 fedora 846.7 KiB libedit x86_64 3.1-54.20250104cvs.fc42 fedora 239.3 KiB libffi-devel x86_64 3.4.6-3.fc42 fedora 33.1 KiB libfido2 x86_64 1.15.0-2.fc41 fedora 238.2 KiB libftdi x86_64 1.5-16.fc42 fedora 89.4 KiB libgcrypt x86_64 1.11.0-4.fc42 fedora 1.5 MiB libgfortran x86_64 15.0.1-0.3.fc42 fedora 3.3 MiB libgpg-error x86_64 1.51-1.fc42 fedora 887.2 KiB libicu x86_64 76.1-3.fc42 fedora 36.3 MiB libicu-devel x86_64 76.1-3.fc42 fedora 5.0 MiB libksba x86_64 1.6.7-2.fc41 fedora 398.4 KiB libmodulemd x86_64 2.15.0-14.fc41 fedora 717.2 KiB libmount-devel x86_64 2.40.4-1.fc42 fedora 63.5 KiB libmpc x86_64 1.3.1-6.fc41 fedora 164.7 KiB libpng x86_64 2:1.6.44-1.fc42 fedora 245.8 KiB libpng-devel x86_64 2:1.6.44-1.fc42 fedora 886.0 KiB libquadmath x86_64 15.0.1-0.3.fc42 fedora 319.5 KiB libquadmath-devel x86_64 15.0.1-0.3.fc42 fedora 21.9 KiB librepo x86_64 1.19.0-1.fc42 fedora 249.2 KiB libseccomp x86_64 2.5.5-2.fc41 fedora 173.3 KiB libselinux-devel x86_64 3.8-0.rc3.1.fc42.2 fedora 126.8 KiB libsepol-devel x86_64 3.8-0.rc3.1.fc42 fedora 120.8 KiB libsolv x86_64 0.7.31-2.fc42 fedora 931.6 KiB libstdc++-devel x86_64 15.0.1-0.3.fc42 fedora 15.9 MiB libtextstyle x86_64 0.23.1-1.fc42 fedora 198.7 KiB libusb1 x86_64 1.0.27-6.fc42 fedora 167.7 KiB libuv x86_64 1:1.49.2-1.fc42 fedora 569.4 KiB libxcb x86_64 1.17.0-3.fc42 fedora 1.2 MiB libxcb-devel x86_64 1.17.0-3.fc42 fedora 2.7 MiB libxcrypt-devel x86_64 4.4.38-3.fc42 fedora 30.8 KiB libxml2-devel x86_64 2.12.9-1.fc42 fedora 3.4 MiB libyaml x86_64 0.2.5-15.fc41 fedora 134.4 KiB mpdecimal x86_64 2.5.1-16.fc41 fedora 204.9 KiB ncurses x86_64 6.5-2.20240629.fc41 fedora 627.3 KiB nettle x86_64 3.10-3.fc41 fedora 793.0 KiB npth x86_64 1.8-1.fc42 fedora 53.6 KiB openblas x86_64 0.3.28-2.fc42 fedora 106.6 KiB openblas-openmp x86_64 0.3.28-2.fc42 fedora 39.3 MiB openssh x86_64 9.9p1-5.fc42 fedora 1.4 MiB openssh-clients x86_64 9.9p1-5.fc42 fedora 2.7 MiB pcre2-devel x86_64 10.44-1.fc41.1 fedora 2.0 MiB pcre2-utf16 x86_64 10.44-1.fc41.1 fedora 590.1 KiB pcre2-utf32 x86_64 10.44-1.fc41.1 fedora 562.0 KiB perl-AutoLoader noarch 5.74-513.fc42 fedora 20.5 KiB perl-B x86_64 1.89-513.fc42 fedora 496.1 KiB perl-Carp noarch 1.54-511.fc41 fedora 46.6 KiB perl-Class-Struct noarch 0.68-513.fc42 fedora 25.4 KiB perl-Data-Dumper x86_64 2.189-512.fc41 fedora 111.7 KiB perl-Digest noarch 1.20-511.fc41 fedora 35.3 KiB perl-Digest-MD5 x86_64 2.59-5.fc41 fedora 59.8 KiB perl-DynaLoader x86_64 1.56-513.fc42 fedora 32.1 KiB perl-Encode x86_64 4:3.21-511.fc41 fedora 4.7 MiB perl-Errno x86_64 1.38-513.fc42 fedora 8.3 KiB perl-Error noarch 1:0.17029-16.fc41 fedora 77.3 KiB perl-Exporter noarch 5.78-511.fc41 fedora 54.3 KiB perl-Fcntl x86_64 1.18-513.fc42 fedora 48.0 KiB perl-File-Basename noarch 2.86-513.fc42 fedora 14.0 KiB perl-File-Find noarch 1.44-513.fc42 fedora 41.9 KiB perl-File-Path noarch 2.18-511.fc41 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-511.fc41 fedora 162.3 KiB perl-File-stat noarch 1.14-513.fc42 fedora 12.5 KiB perl-FileHandle noarch 2.05-513.fc42 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.58-2.fc41 fedora 144.5 KiB perl-Getopt-Std noarch 1.14-513.fc42 fedora 11.2 KiB perl-Git noarch 2.48.1-1.fc42 fedora 64.0 KiB perl-HTTP-Tiny noarch 0.090-1.fc42 fedora 154.4 KiB perl-IO x86_64 1.55-513.fc42 fedora 149.9 KiB perl-IO-Socket-IP noarch 0.43-1.fc42 fedora 100.3 KiB perl-IO-Socket-SSL noarch 2.089-1.fc42 fedora 703.3 KiB perl-IPC-Open3 noarch 1.22-513.fc42 fedora 22.5 KiB perl-MIME-Base32 noarch 1.303-21.fc41 fedora 30.7 KiB perl-MIME-Base64 x86_64 3.16-511.fc41 fedora 46.1 KiB perl-Net-SSLeay x86_64 1.94-7.fc41 fedora 1.3 MiB perl-POSIX x86_64 2.20-513.fc42 fedora 233.3 KiB perl-PathTools x86_64 3.91-511.fc41 fedora 180.0 KiB perl-Pod-Escapes noarch 1:1.07-511.fc41 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-512.fc41 fedora 163.7 KiB perl-Pod-Simple noarch 1:3.45-511.fc41 fedora 560.9 KiB perl-Pod-Usage noarch 4:2.03-511.fc41 fedora 84.8 KiB perl-Scalar-List-Utils x86_64 5:1.68-1.fc42 fedora 148.9 KiB perl-SelectSaver noarch 1.02-513.fc42 fedora 2.2 KiB perl-Socket x86_64 4:2.038-511.fc41 fedora 124.0 KiB perl-Storable x86_64 1:3.32-511.fc41 fedora 232.4 KiB perl-Symbol noarch 1.09-513.fc42 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-512.fc41 fedora 97.5 KiB perl-Term-Cap noarch 1.18-511.fc41 fedora 29.3 KiB perl-TermReadKey x86_64 2.38-23.fc41 fedora 64.1 KiB perl-Text-ParseWords noarch 3.31-511.fc41 fedora 13.6 KiB perl-Text-Tabs+Wrap noarch 2024.001-511.fc41 fedora 22.6 KiB perl-Time-Local noarch 2:1.350-511.fc41 fedora 69.0 KiB perl-URI noarch 5.31-1.fc42 fedora 257.0 KiB perl-base noarch 2.27-513.fc42 fedora 12.5 KiB perl-constant noarch 1.33-512.fc41 fedora 26.2 KiB perl-if noarch 0.61.000-513.fc42 fedora 5.8 KiB perl-interpreter x86_64 4:5.40.0-513.fc42 fedora 121.2 KiB perl-lib x86_64 0.65-513.fc42 fedora 8.5 KiB perl-libnet noarch 3.15-512.fc41 fedora 289.4 KiB perl-libs x86_64 4:5.40.0-513.fc42 fedora 9.8 MiB perl-locale noarch 1.12-513.fc42 fedora 6.5 KiB perl-mro x86_64 1.29-513.fc42 fedora 44.6 KiB perl-overload noarch 1.37-513.fc42 fedora 71.5 KiB perl-overloading noarch 0.02-513.fc42 fedora 4.8 KiB perl-parent noarch 1:0.244-1.fc42 fedora 10.3 KiB perl-podlators noarch 1:6.0.2-2.fc41 fedora 317.5 KiB perl-vars noarch 1.05-513.fc42 fedora 3.9 KiB pixman x86_64 0.44.2-1.fc42 fedora 664.5 KiB pixman-devel x86_64 0.44.2-1.fc42 fedora 49.4 KiB prjoxide x86_64 0-20240105.0.git30712ff9.fc40 copr_base 24.4 MiB prjoxide-data noarch 0-20240105.0.git30712ff9.fc40 copr_base 18.0 MiB prjtrellis x86_64 1.4-20250111.0.git14ac883f.fc42 copr_base 1.9 MiB prjtrellis-data noarch 1.4-20250111.0.git14ac883f.fc42 copr_base 81.2 MiB prjxray-data noarch 0.0.1-20250111.0.git3a95169e.fc42 copr_base 405.9 MiB prjxray-python3 x86_64 0.0.1-20250111.0.git3a95169e.fc42 copr_base 31.6 MiB protobuf-c x86_64 1.5.0-4.fc41 fedora 54.0 KiB pyproject-rpm-macros noarch 1.16.4-1.fc42 fedora 113.0 KiB pypy3.10-libs x86_64 7.3.17-3.3.10.fc42 fedora 92.8 MiB python-pip-wheel noarch 24.3.1-1.fc42 fedora 1.2 MiB python-rpm-macros noarch 3.13-3.fc41 fedora 22.1 KiB python-setuptools-wheel noarch 74.1.3-4.fc42 fedora 1.2 MiB python3 x86_64 3.13.1-2.fc42 fedora 30.6 KiB python3-arpeggio noarch 2.0.2-6.fc41 fedora 547.9 KiB python3-crc noarch 7.0.0-1.fc42 copr_base 49.0 KiB python3-intervaltree noarch 3.1.0-14.fc41 fedora 249.5 KiB python3-libs x86_64 3.13.1-2.fc42 fedora 39.8 MiB python3-numpy x86_64 1:2.2.1-2.fc42 fedora 40.8 MiB python3-numpy-f2py x86_64 1:2.2.1-2.fc42 fedora 2.0 MiB python3-packaging noarch 24.2-2.fc42 fedora 555.7 KiB python3-pyyaml x86_64 6.0.2-1.fc42 fedora 781.0 KiB python3-rpm-generators noarch 14-11.fc41 fedora 81.7 KiB python3-rpm-macros noarch 3.13-3.fc41 fedora 6.4 KiB python3-simplejson x86_64 3.19.3-1.fc42 fedora 464.2 KiB python3-sortedcontainers noarch 2.4.0-18.fc41 fedora 391.2 KiB rhash x86_64 1.4.5-1.fc42 fedora 359.3 KiB sdbus-cpp x86_64 1.5.0-3.fc41 fedora 320.5 KiB sysprof-capture-devel x86_64 47.2-1.fc42 fedora 273.2 KiB systemd x86_64 257.2-7.fc42 fedora 17.4 MiB systemd-pam x86_64 257.2-7.fc42 fedora 1.1 MiB systemd-rpm-macros noarch 257.2-7.fc42 fedora 10.7 KiB tbb x86_64 2022.0.0-2.fc42 fedora 437.1 KiB tbb-bind x86_64 2022.0.0-2.fc42 fedora 22.4 KiB tcl x86_64 1:8.6.15-6.fc42 fedora 4.2 MiB textx-python3 noarch 4.1.0-20241026.0.git060cccc1.fc42 copr_base 2.8 MiB tk x86_64 1:8.6.15-1.fc42 fedora 3.6 MiB tpm2-tss x86_64 4.1.3-5.fc42 fedora 1.6 MiB tzdata noarch 2024b-1.fc42 fedora 1.6 MiB unbound-libs x86_64 1.22.0-8.fc42 fedora 1.4 MiB vim-filesystem noarch 2:9.1.1000-1.fc42 fedora 40.0 B wget2 x86_64 2.2.0-1.fc42 fedora 1.0 MiB wget2-libs x86_64 2.2.0-1.fc42 fedora 364.7 KiB xml-common noarch 0.6.3-65.fc41 fedora 78.4 KiB xorg-x11-proto-devel noarch 2024.1-3.fc41 fedora 1.7 MiB xz-devel x86_64 1:5.6.3-2.fc42 fedora 255.6 KiB yaml-cpp x86_64 0.8.0-1.fc42 fedora 328.5 KiB zchunk-libs x86_64 1.5.1-1.fc41 fedora 101.2 KiB zlib-ng-compat-devel x86_64 2.2.3-1.fc42 fedora 107.0 KiB Transaction Summary: Installing: 285 packages Total size of inbound packages is 263 MiB. Need to download 263 MiB. After this operation, 2 GiB extra will be used (install 2 GiB, remove 0 B). [ 1/285] git-0:2.48.1-1.fc42.x86_64 100% | 28.0 KiB/s | 51.7 KiB | 00m02s [ 2/285] capnproto-0:1.0.1-4.fc41.x86_ 100% | 145.5 KiB/s | 271.2 KiB | 00m02s [ 3/285] json11-devel-0:1.0.0-10.fc39. 100% | 447.6 KiB/s | 11.6 KiB | 00m00s [ 4/285] prjoxide-devel-0:0-20240105.0 100% | 2.0 MiB/s | 18.9 KiB | 00m00s [ 5/285] prjtrellis-devel-0:1.4-202501 100% | 2.9 MiB/s | 29.5 KiB | 00m00s [ 6/285] prjtrellis-python3-0:1.4-2025 100% | 18.5 MiB/s | 872.9 KiB | 00m00s [ 7/285] eigen3-devel-0:3.4.0-16.fc41. 100% | 607.2 KiB/s | 1.2 MiB | 00m02s [ 8/285] make-1:4.4.1-9.fc42.x86_64 100% | 1.4 MiB/s | 586.3 KiB | 00m00s [ 9/285] pypy3.10-0:7.3.17-3.3.10.fc42 100% | 29.0 KiB/s | 13.6 KiB | 00m00s [ 10/285] wget2-wget-0:2.2.0-1.fc42.x86 100% | 17.1 KiB/s | 9.6 KiB | 00m01s [ 11/285] boost-filesystem-0:1.83.0-10. 100% | 134.3 KiB/s | 64.9 KiB | 00m00s [ 12/285] boost-program-options-0:1.83. 100% | 379.3 KiB/s | 99.4 KiB | 00m00s [ 13/285] boost-iostreams-0:1.83.0-10.f 100% | 91.7 KiB/s | 37.3 KiB | 00m00s [ 14/285] boost-thread-0:1.83.0-10.fc42 100% | 148.0 KiB/s | 55.9 KiB | 00m00s [ 15/285] capnproto-devel-0:1.0.1-4.fc4 100% | 687.7 KiB/s | 378.9 KiB | 00m01s [ 16/285] boost-devel-0:1.83.0-10.fc42. 100% | 17.5 MiB/s | 14.2 MiB | 00m01s [ 17/285] icestorm-0:0-20241211.0.git71 100% | 71.1 MiB/s | 10.2 MiB | 00m00s [ 18/285] prjapicula-0:0.15-20250105.1. 100% | 67.2 MiB/s | 8.7 MiB | 00m00s [ 19/285] prjxray-0:0.0.1-20250111.0.gi 100% | 6.1 MiB/s | 162.4 KiB | 00m00s [ 20/285] cmake-0:3.31.4-1.fc42.x86_64 100% | 13.6 MiB/s | 9.9 MiB | 00m01s [ 21/285] pybind11-devel-0:2.13.6-1.fc4 100% | 1.8 MiB/s | 184.9 KiB | 00m00s [ 22/285] python3-devel-0:3.13.1-2.fc42 100% | 2.6 MiB/s | 403.1 KiB | 00m00s [ 23/285] tbb-devel-0:2022.0.0-2.fc42.x 100% | 2.6 MiB/s | 244.9 KiB | 00m00s [ 24/285] tcl-devel-1:8.6.15-6.fc42.x86 100% | 2.1 MiB/s | 170.6 KiB | 00m00s [ 25/285] tk-devel-1:8.6.15-1.fc42.x86_ 100% | 5.0 MiB/s | 541.1 KiB | 00m00s [ 26/285] gcc-c++-0:15.0.1-0.3.fc42.x86 100% | 15.2 MiB/s | 14.7 MiB | 00m01s [ 27/285] dnf5-0:5.2.8.1-4.fc42.x86_64 100% | 3.6 MiB/s | 766.4 KiB | 00m00s [ 28/285] capnproto-libs-0:1.0.1-4.fc41 100% | 6.6 MiB/s | 1.4 MiB | 00m00s [ 29/285] git-core-0:2.48.1-1.fc42.x86_ 100% | 20.7 MiB/s | 4.7 MiB | 00m00s [ 30/285] perl-File-Basename-0:2.86-513 100% | 86.6 KiB/s | 17.2 KiB | 00m00s [ 31/285] git-core-doc-0:2.48.1-1.fc42. 100% | 11.7 MiB/s | 3.0 MiB | 00m00s [ 32/285] perl-File-Find-0:1.44-513.fc4 100% | 453.7 KiB/s | 25.4 KiB | 00m00s [ 33/285] perl-Getopt-Long-1:2.58-2.fc4 100% | 939.6 KiB/s | 63.9 KiB | 00m00s [ 34/285] perl-Git-0:2.48.1-1.fc42.noar 100% | 629.2 KiB/s | 38.4 KiB | 00m00s [ 35/285] perl-IPC-Open3-0:1.22-513.fc4 100% | 378.1 KiB/s | 21.9 KiB | 00m00s [ 36/285] perl-PathTools-0:3.91-511.fc4 100% | 1.0 MiB/s | 87.4 KiB | 00m00s [ 37/285] perl-TermReadKey-0:2.38-23.fc 100% | 438.9 KiB/s | 35.6 KiB | 00m00s [ 38/285] perl-interpreter-4:5.40.0-513 100% | 881.0 KiB/s | 71.4 KiB | 00m00s [ 39/285] json11-0:1.0.0-10.fc39.x86_64 100% | 4.8 MiB/s | 39.3 KiB | 00m00s [ 40/285] prjoxide-data-0:0-20240105.0. 100% | 36.9 MiB/s | 945.3 KiB | 00m00s [ 41/285] prjtrellis-0:1.4-20250111.0.g 100% | 21.0 MiB/s | 473.3 KiB | 00m00s [ 42/285] prjtrellis-data-0:1.4-2025011 100% | 40.1 MiB/s | 1.1 MiB | 00m00s [ 43/285] perl-lib-0:0.65-513.fc42.x86_ 100% | 214.6 KiB/s | 15.0 KiB | 00m00s [ 44/285] wget2-0:2.2.0-1.fc42.x86_64 100% | 2.4 MiB/s | 277.5 KiB | 00m00s [ 45/285] boost-atomic-0:1.83.0-10.fc42 100% | 142.5 KiB/s | 17.5 KiB | 00m00s [ 46/285] boost-system-0:1.83.0-10.fc42 100% | 73.0 KiB/s | 13.6 KiB | 00m00s [ 47/285] boost-0:1.83.0-10.fc42.x86_64 100% | 67.1 KiB/s | 13.0 KiB | 00m00s [ 48/285] pypy3.10-libs-0:7.3.17-3.3.10 100% | 41.5 MiB/s | 18.9 MiB | 00m00s [ 49/285] boost-numpy3-0:1.83.0-10.fc42 100% | 205.8 KiB/s | 27.0 KiB | 00m00s [ 50/285] libicu-devel-0:76.1-3.fc42.x8 100% | 5.8 MiB/s | 793.6 KiB | 00m00s [ 51/285] libquadmath-devel-0:15.0.1-0. 100% | 334.9 KiB/s | 22.4 KiB | 00m00s [ 52/285] cmake-filesystem-0:3.31.4-1.f 100% | 231.2 KiB/s | 17.8 KiB | 00m00s [ 53/285] expat-0:2.6.4-1.fc42.x86_64 100% | 1.8 MiB/s | 114.5 KiB | 00m00s [ 54/285] jsoncpp-0:1.9.5-8.fc41.x86_64 100% | 1.5 MiB/s | 99.3 KiB | 00m00s [ 55/285] cmake-data-0:3.31.4-1.fc42.no 100% | 16.4 MiB/s | 2.5 MiB | 00m00s [ 56/285] libuv-1:1.49.2-1.fc42.x86_64 100% | 4.2 MiB/s | 263.7 KiB | 00m00s [ 57/285] rhash-0:1.4.5-1.fc42.x86_64 100% | 2.9 MiB/s | 198.0 KiB | 00m00s [ 58/285] libmpc-0:1.3.1-6.fc41.x86_64 100% | 1.1 MiB/s | 71.1 KiB | 00m00s [ 59/285] libftdi-0:1.5-16.fc42.x86_64 100% | 673.9 KiB/s | 43.8 KiB | 00m00s [ 60/285] prjxray-python3-0:0.0.1-20250 100% | 13.7 MiB/s | 3.7 MiB | 00m00s [ 61/285] gflags-0:2.2.2-15.fc41.x86_64 100% | 1.5 MiB/s | 98.4 KiB | 00m00s [ 62/285] prjxray-data-0:0.0.1-20250111 100% | 13.4 MiB/s | 6.6 MiB | 00m00s [ 63/285] python3-libs-0:3.13.1-2.fc42. 100% | 20.7 MiB/s | 8.9 MiB | 00m00s [ 64/285] tbb-0:2022.0.0-2.fc42.x86_64 100% | 971.5 KiB/s | 149.6 KiB | 00m00s [ 65/285] yaml-cpp-0:0.8.0-1.fc42.x86_6 100% | 172.3 KiB/s | 126.3 KiB | 00m01s [ 66/285] fmt-0:11.1.2-1.fc42.x86_64 100% | 1.3 MiB/s | 96.3 KiB | 00m00s [ 67/285] libdnf5-0:5.2.8.1-4.fc42.x86_ 100% | 7.0 MiB/s | 1.1 MiB | 00m00s [ 68/285] gcc-0:15.0.1-0.3.fc42.x86_64 100% | 24.5 MiB/s | 38.2 MiB | 00m02s [ 69/285] libdnf5-cli-0:5.2.8.1-4.fc42. 100% | 2.2 MiB/s | 329.8 KiB | 00m00s [ 70/285] sdbus-cpp-0:1.5.0-3.fc41.x86_ 100% | 1.7 MiB/s | 112.9 KiB | 00m00s [ 71/285] less-0:668-1.fc42.x86_64 100% | 2.1 MiB/s | 189.4 KiB | 00m00s [ 72/285] openssh-clients-0:9.9p1-5.fc4 100% | 9.9 MiB/s | 761.6 KiB | 00m00s [ 73/285] tbb-bind-0:2022.0.0-2.fc42.x8 100% | 34.2 KiB/s | 18.1 KiB | 00m01s [ 74/285] perl-Carp-0:1.54-511.fc41.noa 100% | 451.4 KiB/s | 28.9 KiB | 00m00s [ 75/285] perl-Exporter-0:5.78-511.fc41 100% | 506.8 KiB/s | 30.9 KiB | 00m00s [ 76/285] perl-Pod-Usage-4:2.03-511.fc4 100% | 689.8 KiB/s | 40.0 KiB | 00m00s [ 77/285] perl-Text-ParseWords-0:3.31-5 100% | 290.8 KiB/s | 16.6 KiB | 00m00s [ 78/285] perl-base-0:2.27-513.fc42.noa 100% | 285.6 KiB/s | 16.3 KiB | 00m00s [ 79/285] perl-constant-0:1.33-512.fc41 100% | 397.1 KiB/s | 23.0 KiB | 00m00s [ 80/285] perl-overload-0:1.37-513.fc42 100% | 747.7 KiB/s | 45.6 KiB | 00m00s [ 81/285] perl-Error-1:0.17029-16.fc41. 100% | 712.3 KiB/s | 40.6 KiB | 00m00s [ 82/285] perl-Fcntl-0:1.18-513.fc42.x8 100% | 499.1 KiB/s | 28.9 KiB | 00m00s [ 83/285] perl-IO-0:1.55-513.fc42.x86_6 100% | 1.3 MiB/s | 80.9 KiB | 00m00s [ 84/285] perl-POSIX-0:2.20-513.fc42.x8 100% | 1.6 MiB/s | 95.3 KiB | 00m00s [ 85/285] perl-Symbol-0:1.09-513.fc42.n 100% | 250.5 KiB/s | 14.3 KiB | 00m00s [ 86/285] perl-Errno-0:1.38-513.fc42.x8 100% | 258.9 KiB/s | 15.0 KiB | 00m00s [ 87/285] perl-Scalar-List-Utils-5:1.68 100% | 1.2 MiB/s | 74.2 KiB | 00m00s [ 88/285] perl-DynaLoader-0:1.56-513.fc 100% | 435.4 KiB/s | 26.1 KiB | 00m00s [ 89/285] perl-vars-0:1.05-513.fc42.noa 100% | 229.3 KiB/s | 13.1 KiB | 00m00s [ 90/285] emacs-filesystem-1:30.0-3.fc4 100% | 117.1 KiB/s | 7.1 KiB | 00m00s [ 91/285] perl-libs-4:5.40.0-513.fc42.x 100% | 12.6 MiB/s | 2.3 MiB | 00m00s [ 92/285] prjoxide-0:0-20240105.0.git30 100% | 26.6 MiB/s | 3.1 MiB | 00m00s [ 93/285] python-pip-wheel-0:24.3.1-1.f 100% | 15.1 MiB/s | 1.2 MiB | 00m00s [ 94/285] python-setuptools-wheel-0:74. 100% | 6.8 MiB/s | 1.1 MiB | 00m00s [ 95/285] tk-1:8.6.15-1.fc42.x86_64 100% | 18.7 MiB/s | 1.6 MiB | 00m00s [ 96/285] wget2-libs-0:2.2.0-1.fc42.x86 100% | 2.3 MiB/s | 143.3 KiB | 00m00s [ 97/285] gpgme-0:1.24.0-1.fc42.x86_64 100% | 2.8 MiB/s | 217.7 KiB | 00m00s [ 98/285] boost-chrono-0:1.83.0-10.fc42 100% | 415.1 KiB/s | 23.2 KiB | 00m00s [ 99/285] boost-container-0:1.83.0-10.f 100% | 641.9 KiB/s | 37.2 KiB | 00m00s [100/285] boost-context-0:1.83.0-10.fc4 100% | 263.9 KiB/s | 14.8 KiB | 00m00s [101/285] boost-contract-0:1.83.0-10.fc 100% | 720.4 KiB/s | 41.8 KiB | 00m00s [102/285] boost-coroutine-0:1.83.0-10.f 100% | 318.8 KiB/s | 17.9 KiB | 00m00s [103/285] boost-date-time-0:1.83.0-10.f 100% | 177.1 KiB/s | 13.6 KiB | 00m00s [104/285] boost-fiber-0:1.83.0-10.fc42. 100% | 358.1 KiB/s | 38.7 KiB | 00m00s [105/285] boost-graph-0:1.83.0-10.fc42. 100% | 1.0 MiB/s | 141.4 KiB | 00m00s [106/285] boost-json-0:1.83.0-10.fc42.x 100% | 753.7 KiB/s | 138.7 KiB | 00m00s [107/285] boost-locale-0:1.83.0-10.fc42 100% | 1.0 MiB/s | 195.3 KiB | 00m00s [108/285] boost-log-0:1.83.0-10.fc42.x8 100% | 5.5 MiB/s | 440.5 KiB | 00m00s [109/285] boost-nowide-0:1.83.0-10.fc42 100% | 329.7 KiB/s | 18.5 KiB | 00m00s [110/285] boost-math-0:1.83.0-10.fc42.x 100% | 2.6 MiB/s | 199.4 KiB | 00m00s [111/285] boost-python3-0:1.83.0-10.fc4 100% | 1.4 MiB/s | 89.4 KiB | 00m00s [112/285] boost-random-0:1.83.0-10.fc42 100% | 374.6 KiB/s | 21.4 KiB | 00m00s [113/285] boost-regex-0:1.83.0-10.fc42. 100% | 1.6 MiB/s | 103.3 KiB | 00m00s [114/285] boost-stacktrace-0:1.83.0-10. 100% | 462.4 KiB/s | 25.9 KiB | 00m00s [115/285] boost-serialization-0:1.83.0- 100% | 775.2 KiB/s | 117.8 KiB | 00m00s [116/285] tcl-1:8.6.15-6.fc42.x86_64 100% | 1.1 MiB/s | 1.1 MiB | 00m01s [117/285] boost-test-0:1.83.0-10.fc42.x 100% | 3.3 MiB/s | 218.7 KiB | 00m00s [118/285] boost-timer-0:1.83.0-10.fc42. 100% | 338.4 KiB/s | 19.3 KiB | 00m00s [119/285] boost-type_erasure-0:1.83.0-1 100% | 453.7 KiB/s | 30.4 KiB | 00m00s [120/285] boost-wave-0:1.83.0-10.fc42.x 100% | 3.1 MiB/s | 190.4 KiB | 00m00s [121/285] vim-filesystem-2:9.1.1000-1.f 100% | 291.4 KiB/s | 16.3 KiB | 00m00s [122/285] cpp-0:15.0.1-0.3.fc42.x86_64 100% | 27.6 MiB/s | 12.5 MiB | 00m00s [123/285] libusb1-0:1.0.27-6.fc42.x86_6 100% | 978.8 KiB/s | 74.4 KiB | 00m00s [124/285] fasm-python3-0:0.0.2-20220725 100% | 4.6 MiB/s | 42.1 KiB | 00m00s [125/285] python3-numpy-1:2.2.1-2.fc42. 100% | 12.2 MiB/s | 7.9 MiB | 00m01s [126/285] python3-intervaltree-0:3.1.0- 100% | 267.5 KiB/s | 57.2 KiB | 00m00s [127/285] python3-pyyaml-0:6.0.2-1.fc42 100% | 809.2 KiB/s | 229.8 KiB | 00m00s [128/285] python3-simplejson-0:3.19.3-1 100% | 1.8 MiB/s | 163.3 KiB | 00m00s [129/285] libb2-0:0.98.1-12.fc41.x86_64 100% | 450.5 KiB/s | 25.7 KiB | 00m00s [130/285] mpdecimal-0:2.5.1-16.fc41.x86 100% | 1.5 MiB/s | 89.0 KiB | 00m00s [131/285] tzdata-0:2024b-1.fc42.noarch 100% | 7.3 MiB/s | 712.7 KiB | 00m00s [132/285] hwloc-libs-0:2.11.2-1.fc42.x8 100% | 21.1 MiB/s | 2.1 MiB | 00m00s [133/285] libmodulemd-0:2.15.0-14.fc41. 100% | 3.2 MiB/s | 232.5 KiB | 00m00s [134/285] librepo-0:1.19.0-1.fc42.x86_6 100% | 1.7 MiB/s | 100.7 KiB | 00m00s [135/285] glib2-0:2.83.0-3.fc42.x86_64 100% | 14.9 MiB/s | 3.0 MiB | 00m00s [136/285] libsolv-0:0.7.31-2.fc42.x86_6 100% | 5.8 MiB/s | 418.1 KiB | 00m00s [137/285] libedit-0:3.1-54.20250104cvs. 100% | 1.3 MiB/s | 100.7 KiB | 00m00s [138/285] libfido2-0:1.15.0-2.fc41.x86_ 100% | 892.2 KiB/s | 98.1 KiB | 00m00s [139/285] openssh-0:9.9p1-5.fc42.x86_64 100% | 3.9 MiB/s | 353.3 KiB | 00m00s [140/285] perl-Pod-Perldoc-0:3.28.01-51 100% | 1.4 MiB/s | 86.1 KiB | 00m00s [141/285] perl-podlators-1:6.0.2-2.fc41 100% | 1.2 MiB/s | 128.8 KiB | 00m00s [142/285] perl-mro-0:1.29-513.fc42.x86_ 100% | 247.7 KiB/s | 29.0 KiB | 00m00s [143/285] perl-overloading-0:0.02-513.f 100% | 147.5 KiB/s | 13.0 KiB | 00m00s [144/285] perl-File-stat-0:1.14-513.fc4 100% | 190.2 KiB/s | 17.1 KiB | 00m00s [145/285] perl-SelectSaver-0:1.02-513.f 100% | 128.2 KiB/s | 11.8 KiB | 00m00s [146/285] perl-Socket-4:2.038-511.fc41. 100% | 721.4 KiB/s | 54.8 KiB | 00m00s [147/285] libicu-0:76.1-3.fc42.x86_64 100% | 6.1 MiB/s | 10.7 MiB | 00m02s [148/285] perl-locale-0:1.12-513.fc42.n 100% | 204.3 KiB/s | 13.7 KiB | 00m00s [149/285] fontconfig-0:2.15.0-8.fc41.x8 100% | 4.3 MiB/s | 269.9 KiB | 00m00s [150/285] libXft-0:2.3.8-7.fc41.x86_64 100% | 1.1 MiB/s | 72.3 KiB | 00m00s [151/285] libX11-0:1.8.10-2.fc42.x86_64 100% | 6.4 MiB/s | 649.7 KiB | 00m00s [152/285] gnupg2-0:2.4.5-5.fc42.x86_64 100% | 23.5 MiB/s | 2.6 MiB | 00m00s [153/285] libassuan-0:2.5.7-2.fc41.x86_ 100% | 1.1 MiB/s | 67.1 KiB | 00m00s [154/285] libgpg-error-0:1.51-1.fc42.x8 100% | 3.2 MiB/s | 236.3 KiB | 00m00s [155/285] gnutls-0:3.8.8-1.fc42.x86_64 100% | 5.5 MiB/s | 1.1 MiB | 00m00s [156/285] gnutls-dane-0:3.8.8-1.fc42.x8 100% | 175.3 KiB/s | 43.5 KiB | 00m00s [157/285] textx-python3-0:4.1.0-2024102 100% | 30.7 MiB/s | 1.7 MiB | 00m00s [158/285] python3-numpy-f2py-1:2.2.1-2. 100% | 3.9 MiB/s | 491.0 KiB | 00m00s [159/285] python3-sortedcontainers-0:2. 100% | 680.0 KiB/s | 63.2 KiB | 00m00s [160/285] libyaml-0:0.2.5-15.fc41.x86_6 100% | 588.1 KiB/s | 59.4 KiB | 00m00s [161/285] flexiblas-netlib-0:3.4.4-6.fc 100% | 7.6 MiB/s | 3.2 MiB | 00m00s [162/285] zchunk-libs-0:1.5.1-1.fc41.x8 100% | 372.2 KiB/s | 52.1 KiB | 00m00s [163/285] libcbor-0:0.11.0-2.fc41.x86_6 100% | 203.3 KiB/s | 33.1 KiB | 00m00s [164/285] perl-File-Temp-1:0.231.100-51 100% | 573.9 KiB/s | 59.1 KiB | 00m00s [165/285] groff-base-0:1.23.0-7.fc41.x8 100% | 5.1 MiB/s | 1.1 MiB | 00m00s [166/285] perl-HTTP-Tiny-0:0.090-1.fc42 100% | 733.2 KiB/s | 56.5 KiB | 00m00s [167/285] perl-Pod-Simple-1:3.45-511.fc 100% | 2.8 MiB/s | 219.0 KiB | 00m00s [168/285] perl-parent-1:0.244-1.fc42.no 100% | 210.3 KiB/s | 15.1 KiB | 00m00s [169/285] perl-Term-ANSIColor-0:5.01-51 100% | 535.8 KiB/s | 47.7 KiB | 00m00s [170/285] perl-Term-Cap-0:1.18-511.fc41 100% | 334.4 KiB/s | 22.1 KiB | 00m00s [171/285] perl-Class-Struct-0:0.68-513. 100% | 266.8 KiB/s | 22.1 KiB | 00m00s [172/285] default-fonts-core-sans-0:4.2 100% | 279.2 KiB/s | 31.3 KiB | 00m00s [173/285] fonts-filesystem-1:2.0.5-19.f 100% | 68.9 KiB/s | 8.6 KiB | 00m00s [174/285] freetype-0:2.13.3-1.fc42.x86_ 100% | 2.8 MiB/s | 409.2 KiB | 00m00s [175/285] xml-common-0:0.6.3-65.fc41.no 100% | 294.7 KiB/s | 31.2 KiB | 00m00s [176/285] libX11-common-0:1.8.10-2.fc42 100% | 1.9 MiB/s | 175.9 KiB | 00m00s [177/285] libxcb-0:1.17.0-3.fc42.x86_64 100% | 2.8 MiB/s | 240.9 KiB | 00m00s [178/285] libXrender-0:0.9.12-1.fc42.x8 100% | 343.5 KiB/s | 26.1 KiB | 00m00s [179/285] libgcrypt-0:1.11.0-4.fc42.x86 100% | 7.0 MiB/s | 583.2 KiB | 00m00s [180/285] libksba-0:1.6.7-2.fc41.x86_64 100% | 2.5 MiB/s | 159.7 KiB | 00m00s [181/285] npth-0:1.8-1.fc42.x86_64 100% | 297.8 KiB/s | 25.9 KiB | 00m00s [182/285] tpm2-tss-0:4.1.3-5.fc42.x86_6 100% | 5.4 MiB/s | 395.9 KiB | 00m00s [183/285] nettle-0:3.10-3.fc41.x86_64 100% | 4.7 MiB/s | 428.5 KiB | 00m00s [184/285] unbound-libs-0:1.22.0-8.fc42. 100% | 2.0 MiB/s | 554.2 KiB | 00m00s [185/285] flexiblas-0:3.4.4-6.fc42.x86_ 100% | 85.5 KiB/s | 25.4 KiB | 00m00s [186/285] flexiblas-openblas-openmp-0:3 100% | 52.7 KiB/s | 16.4 KiB | 00m00s [187/285] libgfortran-0:15.0.1-0.3.fc42 100% | 6.4 MiB/s | 914.2 KiB | 00m00s [188/285] libquadmath-0:15.0.1-0.3.fc42 100% | 1.4 MiB/s | 177.9 KiB | 00m00s [189/285] python3-arpeggio-0:2.0.2-6.fc 100% | 1.4 MiB/s | 154.9 KiB | 00m00s [190/285] perl-File-Path-0:2.18-511.fc4 100% | 496.6 KiB/s | 35.3 KiB | 00m00s [191/285] perl-IO-Socket-SSL-0:2.089-1. 100% | 2.5 MiB/s | 231.2 KiB | 00m00s [192/285] perl-MIME-Base64-0:3.16-511.f 100% | 369.7 KiB/s | 29.9 KiB | 00m00s [193/285] perl-Net-SSLeay-0:1.94-7.fc41 100% | 4.6 MiB/s | 375.7 KiB | 00m00s [194/285] perl-Time-Local-2:1.350-511.f 100% | 292.6 KiB/s | 34.5 KiB | 00m00s [195/285] perl-Pod-Escapes-1:1.07-511.f 100% | 107.1 KiB/s | 19.8 KiB | 00m00s [196/285] perl-Text-Tabs+Wrap-0:2024.00 100% | 120.7 KiB/s | 21.9 KiB | 00m00s [197/285] perl-if-0:0.61.000-513.fc42.n 100% | 130.4 KiB/s | 14.1 KiB | 00m00s [198/285] ncurses-0:6.5-2.20240629.fc41 100% | 2.4 MiB/s | 423.8 KiB | 00m00s [199/285] abattis-cantarell-vf-fonts-0: 100% | 435.7 KiB/s | 120.2 KiB | 00m00s [200/285] harfbuzz-0:10.2.0-1.fc42.x86_ 100% | 7.2 MiB/s | 1.0 MiB | 00m00s [201/285] google-noto-sans-vf-fonts-0:2 100% | 2.0 MiB/s | 614.5 KiB | 00m00s [202/285] libXau-0:1.0.12-1.fc42.x86_64 100% | 441.6 KiB/s | 32.2 KiB | 00m00s [203/285] hiredis-0:1.2.0-4.fc42.x86_64 100% | 580.8 KiB/s | 49.9 KiB | 00m00s [204/285] protobuf-c-0:1.5.0-4.fc41.x86 100% | 456.3 KiB/s | 32.4 KiB | 00m00s [205/285] libpng-2:1.6.44-1.fc42.x86_64 100% | 268.2 KiB/s | 120.7 KiB | 00m00s [206/285] perl-IO-Socket-IP-0:0.43-1.fc 100% | 123.8 KiB/s | 42.2 KiB | 00m00s [207/285] perl-AutoLoader-0:5.74-513.fc 100% | 131.6 KiB/s | 21.3 KiB | 00m00s [208/285] google-noto-fonts-common-0:20 100% | 147.1 KiB/s | 17.1 KiB | 00m00s [209/285] perl-URI-0:5.31-1.fc42.noarch 100% | 313.9 KiB/s | 140.6 KiB | 00m00s [210/285] graphite2-0:1.3.14-16.fc41.x8 100% | 656.1 KiB/s | 95.1 KiB | 00m00s [211/285] perl-MIME-Base32-0:1.303-21.f 100% | 108.3 KiB/s | 20.5 KiB | 00m00s [212/285] perl-Data-Dumper-0:2.189-512. 100% | 203.4 KiB/s | 56.3 KiB | 00m00s [213/285] perl-libnet-0:3.15-512.fc41.n 100% | 873.9 KiB/s | 128.5 KiB | 00m00s [214/285] perl-Digest-MD5-0:2.59-5.fc41 100% | 132.9 KiB/s | 36.0 KiB | 00m00s [215/285] perl-B-0:1.89-513.fc42.x86_64 100% | 452.1 KiB/s | 174.5 KiB | 00m00s [216/285] openblas-openmp-0:0.3.28-2.fc 100% | 3.2 MiB/s | 5.0 MiB | 00m02s [217/285] perl-FileHandle-0:2.05-513.fc 100% | 116.2 KiB/s | 15.6 KiB | 00m00s [218/285] perl-Digest-0:1.20-511.fc41.n 100% | 129.0 KiB/s | 24.9 KiB | 00m00s [219/285] libX11-xcb-0:1.8.10-2.fc42.x8 100% | 33.2 KiB/s | 11.9 KiB | 00m00s [220/285] libX11-devel-0:1.8.10-2.fc42. 100% | 2.4 MiB/s | 1.0 MiB | 00m00s [221/285] zlib-ng-compat-devel-0:2.2.3- 100% | 86.1 KiB/s | 38.3 KiB | 00m00s [222/285] python3-0:3.13.1-2.fc42.x86_6 100% | 186.5 KiB/s | 26.9 KiB | 00m00s [223/285] perl-Encode-4:3.21-511.fc41.x 100% | 5.4 MiB/s | 1.1 MiB | 00m00s [224/285] perl-Getopt-Std-0:1.14-513.fc 100% | 225.1 KiB/s | 15.8 KiB | 00m00s [225/285] xorg-x11-proto-devel-0:2024.1 100% | 247.8 KiB/s | 300.3 KiB | 00m01s [226/285] perl-Storable-1:3.32-511.fc41 100% | 1.1 MiB/s | 98.4 KiB | 00m00s [227/285] openblas-0:0.3.28-2.fc42.x86_ 100% | 152.3 KiB/s | 41.0 KiB | 00m00s [228/285] dbus-1:1.16.0-1.fc42.x86_64 100% | 98.3 KiB/s | 7.5 KiB | 00m00s [229/285] libseccomp-0:2.5.5-2.fc41.x86 100% | 433.2 KiB/s | 70.2 KiB | 00m00s [230/285] systemd-0:257.2-7.fc42.x86_64 100% | 9.2 MiB/s | 5.6 MiB | 00m01s [231/285] dbus-broker-0:36-4.fc41.x86_6 100% | 2.0 MiB/s | 171.7 KiB | 00m00s [232/285] dbus-common-1:1.16.0-1.fc42.n 100% | 249.5 KiB/s | 14.2 KiB | 00m00s [233/285] systemd-pam-0:257.2-7.fc42.x8 100% | 976.3 KiB/s | 397.4 KiB | 00m00s [234/285] fontconfig-devel-0:2.15.0-8.f 100% | 2.2 MiB/s | 164.8 KiB | 00m00s [235/285] libXft-devel-0:2.3.8-7.fc41.x 100% | 24.3 KiB/s | 49.8 KiB | 00m02s [236/285] gettext-libs-0:0.23.1-1.fc42. 100% | 2.3 MiB/s | 741.4 KiB | 00m00s [237/285] libtextstyle-0:0.23.1-1.fc42. 100% | 305.2 KiB/s | 85.5 KiB | 00m00s [238/285] gettext-envsubst-0:0.23.1-1.f 100% | 602.1 KiB/s | 36.1 KiB | 00m00s [239/285] freetype-devel-0:2.13.3-1.fc4 100% | 4.9 MiB/s | 1.0 MiB | 00m00s [240/285] gettext-0:0.23.1-1.fc42.x86_6 100% | 1.2 MiB/s | 1.1 MiB | 00m01s [241/285] libXrender-devel-0:0.9.12-1.f 100% | 338.9 KiB/s | 19.0 KiB | 00m00s [242/285] libstdc++-devel-0:15.0.1-0.3. 100% | 8.5 MiB/s | 2.8 MiB | 00m00s [243/285] glibc-devel-0:2.40.9000-99.fc 100% | 22.0 MiB/s | 564.4 KiB | 00m00s [244/285] libxcrypt-devel-0:4.4.38-3.fc 100% | 422.0 KiB/s | 29.1 KiB | 00m00s [245/285] brotli-devel-0:1.1.0-5.fc41.x 100% | 576.4 KiB/s | 34.0 KiB | 00m00s [246/285] libxcb-devel-0:1.17.0-3.fc42. 100% | 2.5 MiB/s | 1.4 MiB | 00m01s [247/285] brotli-0:1.1.0-5.fc41.x86_64 100% | 323.9 KiB/s | 20.1 KiB | 00m00s [248/285] bzip2-devel-0:1.0.8-19.fc41.x 100% | 2.0 MiB/s | 213.7 KiB | 00m00s [249/285] harfbuzz-devel-0:10.2.0-1.fc4 100% | 4.4 MiB/s | 443.9 KiB | 00m00s [250/285] harfbuzz-cairo-0:10.2.0-1.fc4 100% | 478.6 KiB/s | 27.8 KiB | 00m00s [251/285] harfbuzz-icu-0:10.2.0-1.fc42. 100% | 245.6 KiB/s | 14.5 KiB | 00m00s [252/285] libXext-0:1.3.6-2.fc41.x86_64 100% | 640.6 KiB/s | 39.1 KiB | 00m00s [253/285] cairo-0:1.18.2-2.fc42.x86_64 100% | 3.4 MiB/s | 694.5 KiB | 00m00s [254/285] pixman-0:0.44.2-1.fc42.x86_64 100% | 3.2 MiB/s | 269.5 KiB | 00m00s [255/285] libpng-devel-2:1.6.44-1.fc42. 100% | 2.5 MiB/s | 292.1 KiB | 00m00s [256/285] libxml2-devel-0:2.12.9-1.fc42 100% | 4.7 MiB/s | 523.8 KiB | 00m00s [257/285] xz-devel-1:5.6.3-2.fc42.x86_6 100% | 951.1 KiB/s | 66.6 KiB | 00m00s [258/285] python3-crc-0:7.0.0-1.fc42.no 100% | 3.2 MiB/s | 25.9 KiB | 00m00s [259/285] libXau-devel-0:1.0.12-1.fc42. 100% | 239.1 KiB/s | 13.9 KiB | 00m00s [260/285] cairo-devel-0:1.18.2-2.fc42.x 100% | 2.1 MiB/s | 191.9 KiB | 00m00s [261/285] kernel-headers-0:6.13.0-0.rc7 100% | 8.4 MiB/s | 1.6 MiB | 00m00s [262/285] python3-packaging-0:24.2-2.fc 100% | 2.1 MiB/s | 153.8 KiB | 00m00s [263/285] graphite2-devel-0:1.3.14-16.f 100% | 364.8 KiB/s | 20.8 KiB | 00m00s [264/285] libffi-devel-0:3.4.6-3.fc42.x 100% | 495.9 KiB/s | 28.8 KiB | 00m00s [265/285] glib2-devel-0:2.83.0-3.fc42.x 100% | 5.5 MiB/s | 1.5 MiB | 00m00s [266/285] libmount-devel-0:2.40.4-1.fc4 100% | 473.6 KiB/s | 27.5 KiB | 00m00s [267/285] libsepol-devel-0:3.8-0.rc3.1. 100% | 821.4 KiB/s | 48.5 KiB | 00m00s [268/285] libselinux-devel-0:3.8-0.rc3. 100% | 2.0 MiB/s | 151.8 KiB | 00m00s [269/285] gettext-runtime-0:0.23.1-1.fc 100% | 49.2 KiB/s | 113.4 KiB | 00m02s [270/285] pcre2-utf16-0:10.44-1.fc41.1. 100% | 2.5 MiB/s | 222.8 KiB | 00m00s [271/285] pcre2-devel-0:10.44-1.fc41.1. 100% | 5.4 MiB/s | 521.4 KiB | 00m00s [272/285] sysprof-capture-devel-0:47.2- 100% | 900.4 KiB/s | 55.8 KiB | 00m00s [273/285] libXext-devel-0:1.3.6-2.fc41. 100% | 1.4 MiB/s | 85.4 KiB | 00m00s [274/285] pixman-devel-0:0.44.2-1.fc42. 100% | 280.6 KiB/s | 17.4 KiB | 00m00s [275/285] libblkid-devel-0:2.40.4-1.fc4 100% | 442.4 KiB/s | 26.5 KiB | 00m00s [276/285] annobin-plugin-gcc-0:12.81-1. 100% | 2.2 MiB/s | 979.2 KiB | 00m00s [277/285] gcc-plugin-annobin-0:15.0.1-0 100% | 65.7 KiB/s | 32.3 KiB | 00m00s [278/285] pcre2-utf32-0:10.44-1.fc41.1. 100% | 290.2 KiB/s | 210.4 KiB | 00m01s [279/285] systemd-rpm-macros-0:257.2-7. 100% | 361.9 KiB/s | 35.8 KiB | 00m00s [280/285] annobin-docs-0:12.81-1.fc42.n 100% | 565.3 KiB/s | 91.6 KiB | 00m00s [281/285] pyproject-rpm-macros-0:1.16.4 100% | 697.4 KiB/s | 44.6 KiB | 00m00s [282/285] python-rpm-macros-0:3.13-3.fc 100% | 310.0 KiB/s | 17.7 KiB | 00m00s [283/285] python3-rpm-generators-0:14-1 100% | 488.4 KiB/s | 29.3 KiB | 00m00s [284/285] python3-rpm-macros-0:3.13-3.f 100% | 214.5 KiB/s | 12.4 KiB | 00m00s [285/285] cmake-rpm-macros-0:3.31.4-1.f 100% | 304.9 KiB/s | 17.1 KiB | 00m00s -------------------------------------------------------------------------------- [285/285] Total 100% | 13.1 MiB/s | 262.9 MiB | 00m20s Running transaction [ 1/287] Verify package files 100% | 319.0 B/s | 285.0 B | 00m01s [ 2/287] Prepare transaction 100% | 643.0 B/s | 285.0 B | 00m00s [ 3/287] Installing cmake-filesystem-0 100% | 2.5 MiB/s | 7.6 KiB | 00m00s [ 4/287] Installing boost-system-0:1.8 100% | 15.9 MiB/s | 16.3 KiB | 00m00s [ 5/287] Installing boost-thread-0:1.8 100% | 129.7 MiB/s | 132.8 KiB | 00m00s [ 6/287] Installing boost-chrono-0:1.8 100% | 39.8 MiB/s | 40.8 KiB | 00m00s [ 7/287] Installing zlib-ng-compat-dev 100% | 106.0 MiB/s | 108.5 KiB | 00m00s [ 8/287] Installing libicu-0:76.1-3.fc 100% | 302.9 MiB/s | 36.3 MiB | 00m00s [ 9/287] Installing xorg-x11-proto-dev 100% | 127.3 MiB/s | 1.8 MiB | 00m00s [ 10/287] Installing libgpg-error-0:1.5 100% | 43.6 MiB/s | 893.1 KiB | 00m00s [ 11/287] Installing expat-0:2.6.4-1.fc 100% | 18.7 MiB/s | 287.6 KiB | 00m00s [ 12/287] Installing boost-regex-0:1.83 100% | 131.2 MiB/s | 268.7 KiB | 00m00s [ 13/287] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 14/287] Installing libpng-2:1.6.44-1. 100% | 120.6 MiB/s | 247.0 KiB | 00m00s [ 15/287] Installing fonts-filesystem-1 100% | 0.0 B/s | 788.0 B | 00m00s [ 16/287] Installing boost-context-0:1. 100% | 16.1 MiB/s | 16.5 KiB | 00m00s [ 17/287] Installing tcl-1:8.6.15-6.fc4 100% | 118.0 MiB/s | 4.2 MiB | 00m00s [ 18/287] Installing fmt-0:11.1.2-1.fc4 100% | 128.8 MiB/s | 263.9 KiB | 00m00s [ 19/287] Installing libmpc-0:1.3.1-6.f 100% | 162.3 MiB/s | 166.2 KiB | 00m00s [ 20/287] Installing boost-atomic-0:1.8 100% | 24.1 MiB/s | 24.7 KiB | 00m00s [ 21/287] Installing boost-filesystem-0 100% | 47.2 MiB/s | 145.0 KiB | 00m00s [ 22/287] Installing prjtrellis-data-0: 100% | 308.4 MiB/s | 81.4 MiB | 00m00s [ 23/287] Installing libpng-devel-2:1.6 100% | 48.3 MiB/s | 889.9 KiB | 00m00s [ 24/287] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 25/287] Installing libassuan-0:2.5.7- 100% | 80.9 MiB/s | 165.6 KiB | 00m00s [ 26/287] Installing libicu-devel-0:76. 100% | 133.6 MiB/s | 5.1 MiB | 00m00s [ 27/287] Installing pixman-0:0.44.2-1. 100% | 216.7 MiB/s | 665.6 KiB | 00m00s [ 28/287] Installing libtextstyle-0:0.2 100% | 194.8 MiB/s | 199.5 KiB | 00m00s [ 29/287] Installing gettext-libs-0:0.2 100% | 251.8 MiB/s | 2.0 MiB | 00m00s [ 30/287] Installing graphite2-0:1.3.14 100% | 12.6 MiB/s | 194.1 KiB | 00m00s [ 31/287] Installing libXau-0:1.0.12-1. 100% | 75.6 MiB/s | 77.4 KiB | 00m00s [ 32/287] Installing libxcb-0:1.17.0-3. 100% | 132.0 MiB/s | 1.2 MiB | 00m00s [ 33/287] Installing libquadmath-0:15.0 100% | 156.6 MiB/s | 320.7 KiB | 00m00s [ 34/287] Installing libgfortran-0:15.0 100% | 329.7 MiB/s | 3.3 MiB | 00m00s [ 35/287] Installing nettle-0:3.10-3.fc 100% | 194.4 MiB/s | 796.1 KiB | 00m00s [ 36/287] Installing gnutls-0:3.8.8-1.f 100% | 232.1 MiB/s | 3.2 MiB | 00m00s [ 37/287] Installing glib2-0:2.83.0-3.f 100% | 215.7 MiB/s | 14.7 MiB | 00m00s [ 38/287] Installing freetype-0:2.13.3- 100% | 208.1 MiB/s | 852.2 KiB | 00m00s [ 39/287] Installing harfbuzz-0:10.2.0- 100% | 267.4 MiB/s | 2.7 MiB | 00m00s [ 40/287] Installing zchunk-libs-0:1.5. 100% | 100.1 MiB/s | 102.6 KiB | 00m00s [ 41/287] Installing libyaml-0:0.2.5-15 100% | 132.6 MiB/s | 135.8 KiB | 00m00s [ 42/287] Installing libusb1-0:1.0.27-6 100% | 82.7 MiB/s | 169.3 KiB | 00m00s [ 43/287] Installing boost-date-time-0: 100% | 16.0 MiB/s | 16.3 KiB | 00m00s [ 44/287] Installing boost-container-0: 100% | 67.1 MiB/s | 68.7 KiB | 00m00s [ 45/287] Installing python-pip-wheel-0 100% | 414.7 MiB/s | 1.2 MiB | 00m00s [ 46/287] Installing emacs-filesystem-1 100% | 531.2 KiB/s | 544.0 B | 00m00s [ 47/287] Installing tbb-0:2022.0.0-2.f 100% | 143.3 MiB/s | 440.1 KiB | 00m00s [ 48/287] Installing yaml-cpp-0:0.8.0-1 100% | 64.5 MiB/s | 330.0 KiB | 00m00s [ 49/287] Installing prjxray-data-0:0.0 100% | 520.9 MiB/s | 406.3 MiB | 00m01s [ 50/287] Installing capnproto-libs-0:1 100% | 275.7 MiB/s | 5.0 MiB | 00m00s [ 51/287] Installing boost-program-opti 100% | 129.3 MiB/s | 264.8 KiB | 00m00s [ 52/287] Installing prjtrellis-0:1.4-2 100% | 69.9 MiB/s | 2.0 MiB | 00m00s [ 53/287] Installing make-1:4.4.1-9.fc4 100% | 75.0 MiB/s | 1.8 MiB | 00m00s [ 54/287] Installing boost-json-0:1.83. 100% | 160.6 MiB/s | 329.0 KiB | 00m00s [ 55/287] Installing boost-wave-0:1.83. 100% | 19.7 MiB/s | 625.4 KiB | 00m00s [ 56/287] Installing tpm2-tss-0:4.1.3-5 100% | 158.2 MiB/s | 1.6 MiB | 00m00s [ 57/287] Installing libmodulemd-0:2.15 100% | 39.0 MiB/s | 719.7 KiB | 00m00s [ 58/287] Installing librepo-0:1.19.0-1 100% | 122.3 MiB/s | 250.5 KiB | 00m00s [ 59/287] Installing libsolv-0:0.7.31-2 100% | 182.3 MiB/s | 933.3 KiB | 00m00s [ 60/287] Installing libdnf5-0:5.2.8.1- 100% | 230.6 MiB/s | 3.5 MiB | 00m00s >>> [RPM] /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew [ 61/287] Installing libdnf5-cli-0:5.2. 100% | 166.0 MiB/s | 850.0 KiB | 00m00s [ 62/287] Installing harfbuzz-icu-0:10. 100% | 18.7 MiB/s | 19.2 KiB | 00m00s [ 63/287] Installing libXau-devel-0:1.0 100% | 1.3 MiB/s | 9.4 KiB | 00m00s [ 64/287] Installing libxcb-devel-0:1.1 100% | 32.0 MiB/s | 3.1 MiB | 00m00s [ 65/287] Installing graphite2-devel-0: 100% | 49.4 MiB/s | 50.6 KiB | 00m00s [ 66/287] Installing pixman-devel-0:0.4 100% | 49.0 MiB/s | 50.2 KiB | 00m00s [ 67/287] Installing pyproject-rpm-macr 100% | 56.2 MiB/s | 115.0 KiB | 00m00s [ 68/287] Installing boost-fiber-0:1.83 100% | 39.5 MiB/s | 80.8 KiB | 00m00s [ 69/287] Installing boost-log-0:1.83.0 100% | 237.7 MiB/s | 1.4 MiB | 00m00s [ 70/287] Installing cpp-0:15.0.1-0.3.f 100% | 240.9 MiB/s | 37.6 MiB | 00m00s [ 71/287] Installing tcl-devel-1:8.6.15 100% | 195.4 MiB/s | 800.4 KiB | 00m00s [ 72/287] Installing boost-coroutine-0: 100% | 24.2 MiB/s | 24.8 KiB | 00m00s [ 73/287] Installing abattis-cantarell- 100% | 94.9 MiB/s | 194.4 KiB | 00m00s [ 74/287] Installing boost-graph-0:1.83 100% | 108.5 MiB/s | 333.2 KiB | 00m00s [ 75/287] Installing libgcrypt-0:1.11.0 100% | 221.4 MiB/s | 1.6 MiB | 00m00s [ 76/287] Installing libksba-0:1.6.7-2. 100% | 130.5 MiB/s | 401.0 KiB | 00m00s [ 77/287] Installing boost-locale-0:1.8 100% | 193.1 MiB/s | 593.3 KiB | 00m00s [ 78/287] Installing boost-timer-0:1.83 100% | 24.1 MiB/s | 24.7 KiB | 00m00s [ 79/287] Installing boost-type_erasure 100% | 55.5 MiB/s | 56.8 KiB | 00m00s [ 80/287] Installing annobin-docs-0:12. 100% | 97.4 MiB/s | 99.8 KiB | 00m00s [ 81/287] Installing libblkid-devel-0:2 100% | 44.9 MiB/s | 46.0 KiB | 00m00s [ 82/287] Installing sysprof-capture-de 100% | 134.8 MiB/s | 276.0 KiB | 00m00s [ 83/287] Installing pcre2-utf32-0:10.4 100% | 274.8 MiB/s | 562.8 KiB | 00m00s [ 84/287] Installing pcre2-utf16-0:10.4 100% | 192.4 MiB/s | 590.9 KiB | 00m00s [ 85/287] Installing pcre2-devel-0:10.4 100% | 73.9 MiB/s | 2.0 MiB | 00m00s [ 86/287] Installing libsepol-devel-0:3 100% | 31.3 MiB/s | 128.3 KiB | 00m00s [ 87/287] Installing libselinux-devel-0 100% | 15.8 MiB/s | 161.6 KiB | 00m00s [ 88/287] Installing libmount-devel-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 89/287] Installing libffi-devel-0:3.4 100% | 11.3 MiB/s | 34.8 KiB | 00m00s [ 90/287] Installing kernel-headers-0:6 100% | 110.7 MiB/s | 6.6 MiB | 00m00s [ 91/287] Installing libxcrypt-devel-0: 100% | 16.2 MiB/s | 33.1 KiB | 00m00s [ 92/287] Installing glibc-devel-0:2.40 100% | 83.2 MiB/s | 2.3 MiB | 00m00s [ 93/287] Installing gcc-0:15.0.1-0.3.f 100% | 288.3 MiB/s | 110.1 MiB | 00m00s [ 94/287] Installing libquadmath-devel- 100% | 22.9 MiB/s | 23.4 KiB | 00m00s [ 95/287] Installing xz-devel-1:5.6.3-2 100% | 84.4 MiB/s | 259.4 KiB | 00m00s [ 96/287] Installing libxml2-devel-0:2. 100% | 103.5 MiB/s | 3.4 MiB | 00m00s [ 97/287] Installing bzip2-devel-0:1.0. 100% | 303.5 MiB/s | 310.7 KiB | 00m00s [ 98/287] Installing brotli-0:1.1.0-5.f 100% | 2.1 MiB/s | 32.5 KiB | 00m00s [ 99/287] Installing brotli-devel-0:1.1 100% | 22.1 MiB/s | 68.0 KiB | 00m00s [100/287] Installing libstdc++-devel-0: 100% | 205.2 MiB/s | 16.0 MiB | 00m00s [101/287] Installing gettext-envsubst-0 100% | 4.8 MiB/s | 78.9 KiB | 00m00s [102/287] Installing gettext-runtime-0: 100% | 20.4 MiB/s | 459.0 KiB | 00m00s [103/287] Installing gettext-0:0.23.1-1 100% | 136.1 MiB/s | 5.2 MiB | 00m00s [104/287] Installing dbus-common-1:1.16 100% | 356.6 KiB/s | 13.6 KiB | 00m00s [105/287] Installing dbus-broker-0:36-4 100% | 12.1 MiB/s | 385.3 KiB | 00m00s [106/287] Installing dbus-1:1.16.0-1.fc 100% | 0.0 B/s | 124.0 B | 00m00s [107/287] Installing libseccomp-0:2.5.5 100% | 57.0 MiB/s | 175.2 KiB | 00m00s [108/287] Installing systemd-pam-0:257. 100% | 137.4 MiB/s | 1.1 MiB | 00m00s [109/287] Installing systemd-0:257.2-7. 100% | 56.2 MiB/s | 17.5 MiB | 00m00s >>> Running post-install scriptlet: systemd-0:257.2-7.fc42.x86_64 >>> Finished post-install scriptlet: systemd-0:257.2-7.fc42.x86_64 >>> Scriptlet output: >>> Creating group 'systemd-journal' with GID 190. >>> Creating group 'systemd-oom' with GID 999. >>> Creating user 'systemd-oom' (systemd Userspace OOM Killer) with UID 999 and >>> [110/287] Installing libftdi-0:1.5-16.f 100% | 44.3 MiB/s | 90.7 KiB | 00m00s [111/287] Installing openblas-0:0.3.28- 100% | 52.9 MiB/s | 108.3 KiB | 00m00s [112/287] Installing openblas-openmp-0: 100% | 417.9 MiB/s | 39.3 MiB | 00m00s [113/287] Installing flexiblas-0:3.4.4- 100% | 48.5 MiB/s | 49.7 KiB | 00m00s [114/287] Installing flexiblas-openblas 100% | 42.1 MiB/s | 43.1 KiB | 00m00s [115/287] Installing flexiblas-netlib-0 100% | 207.4 MiB/s | 10.6 MiB | 00m00s [116/287] Installing libX11-xcb-0:1.8.1 100% | 15.5 MiB/s | 15.9 KiB | 00m00s [117/287] Installing google-noto-fonts- 100% | 18.1 MiB/s | 18.5 KiB | 00m00s [118/287] Installing google-noto-sans-v 100% | 231.9 MiB/s | 1.4 MiB | 00m00s [119/287] Installing default-fonts-core 100% | 8.9 MiB/s | 18.2 KiB | 00m00s [120/287] Installing protobuf-c-0:1.5.0 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [121/287] Installing hiredis-0:1.2.0-4. 100% | 56.5 MiB/s | 115.7 KiB | 00m00s [122/287] Installing ncurses-0:6.5-2.20 100% | 26.9 MiB/s | 633.9 KiB | 00m00s [123/287] Installing npth-0:1.8-1.fc42. 100% | 26.7 MiB/s | 54.7 KiB | 00m00s [124/287] Installing gnupg2-0:2.4.5-5.f 100% | 131.9 MiB/s | 9.5 MiB | 00m00s [125/287] Installing gpgme-0:1.24.0-1.f 100% | 25.0 MiB/s | 589.3 KiB | 00m00s [126/287] Installing libX11-common-0:1. 100% | 54.0 MiB/s | 1.2 MiB | 00m00s [127/287] Installing libX11-0:1.8.10-2. 100% | 142.8 MiB/s | 1.3 MiB | 00m00s [128/287] Installing libX11-devel-0:1.8 100% | 27.3 MiB/s | 1.1 MiB | 00m00s [129/287] Installing libXrender-0:0.9.1 100% | 48.8 MiB/s | 50.0 KiB | 00m00s [130/287] Installing libXrender-devel-0 100% | 49.8 MiB/s | 51.0 KiB | 00m00s [131/287] Installing libXext-0:1.3.6-2. 100% | 44.6 MiB/s | 91.3 KiB | 00m00s [132/287] Installing libXext-devel-0:1. 100% | 6.0 MiB/s | 110.9 KiB | 00m00s [133/287] Installing xml-common-0:0.6.3 100% | 19.8 MiB/s | 81.1 KiB | 00m00s [134/287] Installing fontconfig-0:2.15. 100% | 758.8 KiB/s | 811.1 KiB | 00m01s [135/287] Installing cairo-0:1.18.2-2.f 100% | 145.8 MiB/s | 1.7 MiB | 00m00s [136/287] Installing libXft-0:2.3.8-7.f 100% | 10.8 MiB/s | 166.0 KiB | 00m00s [137/287] Installing tk-1:8.6.15-1.fc42 100% | 65.8 MiB/s | 3.7 MiB | 00m00s [138/287] Installing harfbuzz-cairo-0:1 100% | 3.0 MiB/s | 55.2 KiB | 00m00s [139/287] Installing groff-base-0:1.23. 100% | 47.8 MiB/s | 3.9 MiB | 00m00s [140/287] Installing perl-Digest-0:1.20 100% | 18.1 MiB/s | 37.1 KiB | 00m00s [141/287] Installing perl-B-0:1.89-513. 100% | 97.5 MiB/s | 499.4 KiB | 00m00s [142/287] Installing perl-FileHandle-0: 100% | 9.5 MiB/s | 9.8 KiB | 00m00s [143/287] Installing perl-Digest-MD5-0: 100% | 20.1 MiB/s | 61.7 KiB | 00m00s [144/287] Installing perl-MIME-Base32-0 100% | 31.4 MiB/s | 32.2 KiB | 00m00s [145/287] Installing perl-Data-Dumper-0 100% | 37.0 MiB/s | 113.6 KiB | 00m00s [146/287] Installing perl-libnet-0:3.15 100% | 57.6 MiB/s | 294.7 KiB | 00m00s [147/287] Installing perl-IO-Socket-IP- 100% | 49.9 MiB/s | 102.2 KiB | 00m00s [148/287] Installing perl-AutoLoader-0: 100% | 4.1 MiB/s | 20.9 KiB | 00m00s [149/287] Installing perl-URI-0:5.31-1. 100% | 32.9 MiB/s | 269.6 KiB | 00m00s [150/287] Installing perl-locale-0:1.12 100% | 6.7 MiB/s | 6.9 KiB | 00m00s [151/287] Installing perl-File-Path-0:2 100% | 31.5 MiB/s | 64.5 KiB | 00m00s [152/287] Installing perl-Time-Local-2: 100% | 34.5 MiB/s | 70.6 KiB | 00m00s [153/287] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [154/287] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.9 KiB | 00m00s [155/287] Installing perl-if-0:0.61.000 100% | 6.1 MiB/s | 6.2 KiB | 00m00s [156/287] Installing perl-Net-SSLeay-0: 100% | 97.3 MiB/s | 1.4 MiB | 00m00s [157/287] Installing perl-IO-Socket-SSL 100% | 115.1 MiB/s | 707.4 KiB | 00m00s [158/287] Installing perl-POSIX-0:2.20- 100% | 114.5 MiB/s | 234.5 KiB | 00m00s [159/287] Installing perl-Term-ANSIColo 100% | 96.9 MiB/s | 99.2 KiB | 00m00s [160/287] Installing perl-Term-Cap-0:1. 100% | 29.9 MiB/s | 30.6 KiB | 00m00s [161/287] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [162/287] Installing perl-Class-Struct- 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [163/287] Installing perl-File-Temp-1:0 100% | 80.1 MiB/s | 164.1 KiB | 00m00s [164/287] Installing perl-Pod-Simple-1: 100% | 92.8 MiB/s | 570.5 KiB | 00m00s [165/287] Installing perl-HTTP-Tiny-0:0 100% | 50.9 MiB/s | 156.4 KiB | 00m00s [166/287] Installing perl-Symbol-0:1.09 100% | 7.0 MiB/s | 7.2 KiB | 00m00s [167/287] Installing perl-SelectSaver-0 100% | 2.5 MiB/s | 2.6 KiB | 00m00s [168/287] Installing perl-Socket-4:2.03 100% | 41.0 MiB/s | 126.1 KiB | 00m00s [169/287] Installing perl-File-stat-0:1 100% | 12.7 MiB/s | 13.1 KiB | 00m00s [170/287] Installing perl-podlators-1:6 100% | 13.6 MiB/s | 321.4 KiB | 00m00s [171/287] Installing perl-Pod-Perldoc-0 100% | 7.5 MiB/s | 169.3 KiB | 00m00s [172/287] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.6 KiB | 00m00s [173/287] Installing perl-base-0:2.27-5 100% | 12.6 MiB/s | 12.9 KiB | 00m00s [174/287] Installing perl-Fcntl-0:1.18- 100% | 48.0 MiB/s | 49.1 KiB | 00m00s [175/287] Installing perl-mro-0:1.29-51 100% | 44.6 MiB/s | 45.7 KiB | 00m00s [176/287] Installing perl-overloading-0 100% | 5.4 MiB/s | 5.5 KiB | 00m00s [177/287] Installing perl-IO-0:1.55-513 100% | 50.2 MiB/s | 154.2 KiB | 00m00s [178/287] Installing perl-Pod-Usage-4:2 100% | 4.4 MiB/s | 86.3 KiB | 00m00s [179/287] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [180/287] Installing perl-Errno-0:1.38- 100% | 8.5 MiB/s | 8.7 KiB | 00m00s [181/287] Installing perl-Scalar-List-U 100% | 37.3 MiB/s | 152.6 KiB | 00m00s [182/287] Installing perl-vars-0:1.05-5 100% | 4.2 MiB/s | 4.3 KiB | 00m00s [183/287] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [184/287] Installing perl-parent-1:0.24 100% | 10.7 MiB/s | 11.0 KiB | 00m00s [185/287] Installing perl-MIME-Base64-0 100% | 23.6 MiB/s | 48.4 KiB | 00m00s [186/287] Installing perl-Getopt-Std-0: 100% | 11.5 MiB/s | 11.7 KiB | 00m00s [187/287] Installing perl-Storable-1:3. 100% | 76.2 MiB/s | 234.0 KiB | 00m00s [188/287] Installing perl-Getopt-Long-1 100% | 47.9 MiB/s | 147.2 KiB | 00m00s [189/287] Installing perl-File-Basename 100% | 14.2 MiB/s | 14.6 KiB | 00m00s [190/287] Installing perl-Carp-0:1.54-5 100% | 46.6 MiB/s | 47.7 KiB | 00m00s [191/287] Installing perl-Exporter-0:5. 100% | 54.3 MiB/s | 55.6 KiB | 00m00s [192/287] Installing perl-PathTools-0:3 100% | 45.1 MiB/s | 184.6 KiB | 00m00s [193/287] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [194/287] Installing perl-Encode-4:3.21 100% | 104.9 MiB/s | 4.7 MiB | 00m00s [195/287] Installing perl-libs-4:5.40.0 100% | 103.5 MiB/s | 9.9 MiB | 00m00s [196/287] Installing perl-interpreter-4 100% | 6.0 MiB/s | 122.9 KiB | 00m00s [197/287] Installing prjoxide-0:0-20240 100% | 283.2 MiB/s | 24.4 MiB | 00m00s [198/287] Installing prjoxide-data-0:0- 100% | 290.9 MiB/s | 18.0 MiB | 00m00s [199/287] Installing perl-File-Find-0:1 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [200/287] Installing perl-TermReadKey-0 100% | 21.6 MiB/s | 66.3 KiB | 00m00s [201/287] Installing perl-lib-0:0.65-51 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [202/287] Installing perl-Error-1:0.170 100% | 39.3 MiB/s | 80.5 KiB | 00m00s [203/287] Installing libcbor-0:0.11.0-2 100% | 36.8 MiB/s | 75.3 KiB | 00m00s [204/287] Installing libfido2-0:1.15.0- 100% | 78.0 MiB/s | 239.7 KiB | 00m00s [205/287] Installing openssh-0:9.9p1-5. 100% | 55.2 MiB/s | 1.4 MiB | 00m00s [206/287] Installing libedit-0:3.1-54.2 100% | 58.8 MiB/s | 241.0 KiB | 00m00s [207/287] Installing openssh-clients-0: 100% | 42.9 MiB/s | 2.7 MiB | 00m00s [208/287] Installing hwloc-libs-0:2.11. 100% | 238.9 MiB/s | 2.9 MiB | 00m00s [209/287] Installing tbb-bind-0:2022.0. 100% | 3.2 MiB/s | 23.3 KiB | 00m00s [210/287] Installing tzdata-0:2024b-1.f 100% | 17.0 MiB/s | 1.9 MiB | 00m00s [211/287] Installing mpdecimal-0:2.5.1- 100% | 67.1 MiB/s | 206.0 KiB | 00m00s [212/287] Installing libb2-0:0.98.1-12. 100% | 5.3 MiB/s | 43.3 KiB | 00m00s [213/287] Installing python3-libs-0:3.1 100% | 140.1 MiB/s | 40.2 MiB | 00m00s [214/287] Installing python3-0:3.13.1-2 100% | 1.3 MiB/s | 32.4 KiB | 00m00s [215/287] Installing boost-python3-0:1. 100% | 80.8 MiB/s | 248.2 KiB | 00m00s [216/287] Installing python3-packaging- 100% | 79.2 MiB/s | 568.0 KiB | 00m00s [217/287] Installing cmake-rpm-macros-0 100% | 4.0 MiB/s | 8.2 KiB | 00m00s [218/287] Installing glib2-devel-0:2.83 100% | 178.3 MiB/s | 15.9 MiB | 00m00s [219/287] Installing fontconfig-devel-0 100% | 10.6 MiB/s | 151.9 KiB | 00m00s [220/287] Installing cairo-devel-0:1.18 100% | 163.6 MiB/s | 2.3 MiB | 00m00s [221/287] Installing freetype-devel-0:2 100% | 137.8 MiB/s | 8.5 MiB | 00m00s [222/287] Installing harfbuzz-devel-0:1 100% | 107.7 MiB/s | 5.2 MiB | 00m00s [223/287] Installing libXft-devel-0:2.3 100% | 7.2 MiB/s | 44.3 KiB | 00m00s [224/287] Installing python3-rpm-genera 100% | 40.5 MiB/s | 82.9 KiB | 00m00s [225/287] Installing python3-devel-0:3. 100% | 35.6 MiB/s | 1.8 MiB | 00m00s [226/287] Installing python3-numpy-1:2. 100% | 156.8 MiB/s | 41.1 MiB | 00m00s [227/287] Installing python3-numpy-f2py 100% | 41.3 MiB/s | 2.1 MiB | 00m00s [228/287] Installing boost-numpy3-0:1.8 100% | 27.7 MiB/s | 56.7 KiB | 00m00s [229/287] Installing python3-pyyaml-0:6 100% | 86.2 MiB/s | 794.8 KiB | 00m00s [230/287] Installing python3-simplejson 100% | 43.6 MiB/s | 491.1 KiB | 00m00s [231/287] Installing python3-sortedcont 100% | 96.6 MiB/s | 395.6 KiB | 00m00s [232/287] Installing python3-intervaltr 100% | 62.1 MiB/s | 254.3 KiB | 00m00s [233/287] Installing python3-arpeggio-0 100% | 31.7 MiB/s | 583.6 KiB | 00m00s [234/287] Installing textx-python3-0:4. 100% | 60.4 MiB/s | 2.8 MiB | 00m00s [235/287] Installing fasm-python3-0:0.0 100% | 6.0 MiB/s | 134.9 KiB | 00m00s [236/287] Installing python3-crc-0:7.0. 100% | 1.0 MiB/s | 52.7 KiB | 00m00s [237/287] Installing unbound-libs-0:1.2 100% | 130.7 MiB/s | 1.4 MiB | 00m00s [238/287] Installing gnutls-dane-0:3.8. 100% | 34.6 MiB/s | 70.8 KiB | 00m00s [239/287] Installing wget2-libs-0:2.2.0 100% | 89.3 MiB/s | 366.0 KiB | 00m00s [240/287] Installing wget2-0:2.2.0-1.fc 100% | 36.3 MiB/s | 1.1 MiB | 00m00s [241/287] Installing vim-filesystem-2:9 100% | 1.5 MiB/s | 4.7 KiB | 00m00s [242/287] Installing boost-test-0:1.83. 100% | 152.4 MiB/s | 624.1 KiB | 00m00s [243/287] Installing boost-stacktrace-0 100% | 32.3 MiB/s | 66.1 KiB | 00m00s [244/287] Installing boost-serializatio 100% | 139.2 MiB/s | 427.5 KiB | 00m00s [245/287] Installing boost-random-0:1.8 100% | 28.1 MiB/s | 28.8 KiB | 00m00s [246/287] Installing boost-nowide-0:1.8 100% | 14.0 MiB/s | 28.7 KiB | 00m00s [247/287] Installing boost-math-0:1.83. 100% | 105.9 MiB/s | 650.5 KiB | 00m00s [248/287] Installing boost-contract-0:1 100% | 49.2 MiB/s | 100.8 KiB | 00m00s [249/287] Installing python-setuptools- 100% | 96.1 MiB/s | 1.2 MiB | 00m00s [250/287] Installing pypy3.10-libs-0:7. 100% | 230.9 MiB/s | 93.3 MiB | 00m00s [251/287] Installing less-0:668-1.fc42. 100% | 22.2 MiB/s | 409.7 KiB | 00m00s [252/287] Installing git-core-0:2.48.1- 100% | 232.9 MiB/s | 22.6 MiB | 00m00s [253/287] Installing git-core-doc-0:2.4 100% | 197.8 MiB/s | 17.6 MiB | 00m00s [254/287] Installing perl-Git-0:2.48.1- 100% | 63.5 MiB/s | 65.0 KiB | 00m00s [255/287] Installing git-0:2.48.1-1.fc4 100% | 42.7 MiB/s | 87.5 KiB | 00m00s [256/287] Installing sdbus-cpp-0:1.5.0- 100% | 157.3 MiB/s | 322.2 KiB | 00m00s [257/287] Installing gflags-0:2.2.2-15. 100% | 18.1 MiB/s | 296.0 KiB | 00m00s [258/287] Installing prjxray-python3-0: 100% | 385.2 MiB/s | 31.6 MiB | 00m00s [259/287] Installing prjxray-0:0.0.1-20 100% | 28.6 MiB/s | 527.9 KiB | 00m00s [260/287] Installing rhash-0:1.4.5-1.fc 100% | 20.9 MiB/s | 364.6 KiB | 00m00s [261/287] Installing libuv-1:1.49.2-1.f 100% | 186.3 MiB/s | 572.2 KiB | 00m00s [262/287] Installing jsoncpp-0:1.9.5-8. 100% | 24.9 MiB/s | 254.9 KiB | 00m00s [263/287] Installing cmake-data-0:3.31. 100% | 52.4 MiB/s | 9.1 MiB | 00m00s [264/287] Installing cmake-0:3.31.4-1.f 100% | 276.8 MiB/s | 32.9 MiB | 00m00s [265/287] Installing json11-0:1.0.0-10. 100% | 39.6 MiB/s | 81.2 KiB | 00m00s [266/287] Installing boost-iostreams-0: 100% | 90.6 MiB/s | 92.7 KiB | 00m00s [267/287] Installing boost-0:1.83.0-10. 100% | 46.5 KiB/s | 1.7 KiB | 00m00s [268/287] Installing boost-devel-0:1.83 100% | 141.1 MiB/s | 145.8 MiB | 00m01s [269/287] Installing json11-devel-0:1.0 100% | 9.6 MiB/s | 9.8 KiB | 00m00s [270/287] Installing pybind11-devel-0:2 100% | 39.8 MiB/s | 856.0 KiB | 00m00s [271/287] Installing dnf5-0:5.2.8.1-4.f 100% | 43.6 MiB/s | 2.1 MiB | 00m00s [272/287] Installing pypy3.10-0:7.3.17- 100% | 1.2 MiB/s | 18.5 KiB | 00m00s [273/287] Installing wget2-wget-0:2.2.0 100% | 31.0 KiB/s | 444.0 B | 00m00s [274/287] Installing prjapicula-0:0.15- 100% | 233.6 MiB/s | 14.3 MiB | 00m00s [275/287] Installing tk-devel-1:8.6.15- 100% | 77.8 MiB/s | 1.0 MiB | 00m00s [276/287] Installing prjtrellis-devel-0 100% | 26.4 MiB/s | 81.0 KiB | 00m00s [277/287] Installing icestorm-0:0-20241 100% | 414.8 MiB/s | 110.7 MiB | 00m00s [278/287] Installing tbb-devel-0:2022.0 100% | 139.7 MiB/s | 1.4 MiB | 00m00s [279/287] Installing prjoxide-devel-0:0 100% | 16.5 MiB/s | 33.9 KiB | 00m00s [280/287] Installing gcc-c++-0:15.0.1-0 100% | 277.5 MiB/s | 40.8 MiB | 00m00s [281/287] Installing annobin-plugin-gcc 100% | 38.9 MiB/s | 995.3 KiB | 00m00s [282/287] Installing gcc-plugin-annobin 100% | 2.3 MiB/s | 61.2 KiB | 00m00s [283/287] Installing prjtrellis-python3 100% | 284.2 MiB/s | 3.4 MiB | 00m00s [284/287] Installing capnproto-0:1.0.1- 100% | 40.9 MiB/s | 794.9 KiB | 00m00s [285/287] Installing capnproto-devel-0: 100% | 171.7 MiB/s | 2.2 MiB | 00m00s [286/287] Installing eigen3-devel-0:3.4 100% | 162.9 MiB/s | 8.5 MiB | 00m00s [287/287] Installing systemd-rpm-macros 100% | 21.9 KiB/s | 11.3 KiB | 00m01s Warning: skipped OpenPGP checks for 18 packages from repositories: copr_base, copr_rezso_ML Complete! Finish: build setup for nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Start: rpmbuild nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.jM8iq7 + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + test -d /builddir/build/BUILD/nextpnr-0.7-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-0.7-build + /usr/bin/rm -rf /builddir/build/BUILD/nextpnr-0.7-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-0.7-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-0.7-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.nz6lOs + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + cd /builddir/build/BUILD/nextpnr-0.7-build + rm -rf nextpnr + /usr/bin/mkdir -p nextpnr + cd nextpnr + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/YosysHQ/nextpnr.git . Cloning into '.'... + git fetch --depth 1 origin 155adc3f5de97c54272388051dc544ed4d6597c6 From https://github.com/YosysHQ/nextpnr * branch 155adc3f5de97c54272388051dc544ed4d6597c6 -> FETCH_HEAD + git reset --hard 155adc3f5de97c54272388051dc544ed4d6597c6 HEAD is now at 155adc3 CMake: rationalize and refactor build system. + git submodule update --init --depth 1 himbaechel/uarch/xilinx/meta Submodule 'himbaechel/uarch/xilinx/meta' (https://github.com/gatecat/nextpnr-xilinx-meta) registered for path 'himbaechel/uarch/xilinx/meta' Cloning into '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/meta'... Submodule path 'himbaechel/uarch/xilinx/meta': checked out '57de9216639b0670949664cfdc61b2679064eb7b' + git log --format=fuller commit 155adc3f5de97c54272388051dc544ed4d6597c6 Author: Catherine AuthorDate: Wed Jan 15 09:22:08 2025 +0000 Commit: myrtle CommitDate: Thu Jan 16 11:36:44 2025 +0100 CMake: rationalize and refactor build system. The two main changes, done together in this commit, are: * Eliminating most instances of `aux_source_directory()`, replacing them with explicit file listings; and * Moving these file listings into respective subdirectories by representing respective nextpnr components as interface libraries. In addition, the GUI CMake script tree was simplified since it had a lot of unused/redundant code. The `aux_source_directory()` command is not recommended for use by CMake itself because it misses dependency changes when adding/removing files, and consequently causes build failures requiring a clean rebuild. This commit does not touch anything related to architectures/families, which are very complex and redundant all on their own. + cd /builddir/build/BUILD/nextpnr-0.7-build + /usr/bin/mkdir -p nextpnr + cd nextpnr + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -rf 3rdparty/json11 + rm -rf 3rdparty/pybind11 + rm -rf 3rdparty/googletest + rm -rf 3rdparty/abseil-cpp + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + sed -i /abseil-cpp/d CMakeLists.txt + sed -i 's|absl::flat_hash_set|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|absl::flat_hash_map|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|pybind11_headers||g' common/kernel/CMakeLists.txt + sed -i '/add_subdirectory(3rdparty\/json11)/d' CMakeLists.txt + sed -i 's|set(EXTRA_LIB_DEPS)|set(EXTRA_LIB_DEPS json11)|' CMakeLists.txt + sed -i '1i #include ' common/kernel/hashlib.h + sed -i -e 's|/lib/|/lib64/|g' ecp5/CMakeLists.txt + sed -i -e 's|share/icebox|share/icestorm|g' ice40/CMakeLists.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.ZJhu4s + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON . -Wno-dev -DCMAKE_SKIP_RPATH=ON -DCMAKE_VERBOSE_MAKEFILE=OFF -DCMAKE_BUILD_TYPE=RelWithDebInfo -DPython3_EXECUTABLE=/usr/bin/python3 '-DARCH=generic;himbaechel;ice40;ecp5;nexus;gowin;machxo2' -DHIMBAECHEL_GOWIN_DEVICES=all '-DHIMBAECHEL_XILINX_DEVICES=xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020' -DHIMBAECHEL_PRJXRAY_DB=/usr/share/xray/database/ -DBUILD_GUI=OFF -DUSE_OPENMP=ON -DPRJOXIDE_PREFIX=/usr -DOXIDE_INSTALL_PREFIX=/usr -DTRELLIS_INSTALL_PREFIX=/usr -DICESTORM_INSTALL_PREFIX=/usr -DRAPIDWRIGHT_PATH=/usr/share/rapidwright -- The CXX compiler identification is GNU 15.0.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Boost: /usr/lib64/cmake/Boost-1.83.0/BoostConfig.cmake (found version "1.83.0") found components: filesystem program_options iostreams system thread -- Found Python3: /usr/bin/python3 (found suitable version "3.13.1", minimum required is "3.5") found components: Interpreter Development.Embed -- Found pybind11: /usr/include (found version "2.13.6") -- Found Boost: /usr/lib64/cmake/Boost-1.83.0/BoostConfig.cmake (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: himbaechel -- Configuring Himbaechel-Example uarch -- Enabled Himbaechel-Example devices: -- Configuring Himbaechel-Gowin uarch -- Enabled Himbaechel-Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-4;GW2A-18;GW2A-18C -- Apycula install prefix: (using system Python) -- Configuring Xilinx uarch -- Enabled Himbaechel-Xilinx devices: xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020 -- Configuring Himbaechel-NG-ULTRA uarch -- Enabled Himbaechel-NG-Ultra devices: -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Searching for pytrellis in: /usr/local/lib;/usr/lib;//lib;/usr/lib;/usr/lib;/usr/X11R6/lib;/usr/pkg/lib;/opt/lib;/usr/lib/X11 -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb -- Configuring architecture: nexus -- Enabled Nexus families: LIFCL -- prjoxide install prefix: /usr -- Using Nexus chipdb: /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/chipdb -- Configuring architecture: gowin -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Using Gowin chipdb: /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb -- Configuring architecture: machxo2 -- Enabled MachXO2/XO3 devices: 1200;6900 -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using MachXO2/XO3 chipdb: /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/chipdb -- Configuring done (1.7s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX PRJOXIDE_PREFIX RAPIDWRIGHT_PATH SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-0.7-build/nextpnr + /usr/bin/cmake --build . -j2 --verbose Change Dir: '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j2 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-0.7-build/nextpnr -B/builddir/build/BUILD/nextpnr-0.7-build/nextpnr --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles /builddir/build/BUILD/nextpnr-0.7-build/nextpnr//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f json/CMakeFiles/nextpnr_json.dir/build.make json/CMakeFiles/nextpnr_json.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/CMakeFiles/nextpnr_json.dir/DependInfo.cmake "--color=" /usr/bin/gmake -f 3rdparty/oourafft/CMakeFiles/oourafft.dir/build.make 3rdparty/oourafft/CMakeFiles/oourafft.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/CMakeFiles/oourafft.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f json/CMakeFiles/nextpnr_json.dir/build.make json/CMakeFiles/nextpnr_json.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'json/CMakeFiles/nextpnr_json.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f 3rdparty/oourafft/CMakeFiles/oourafft.dir/build.make 3rdparty/oourafft/CMakeFiles/oourafft.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for '3rdparty/oourafft/CMakeFiles/oourafft.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 0%] Built target nextpnr_json /usr/bin/gmake -f common/kernel/CMakeFiles/nextpnr_kernel.dir/build.make common/kernel/CMakeFiles/nextpnr_kernel.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/CMakeFiles/nextpnr_kernel.dir/DependInfo.cmake "--color=" [ 0%] Built target oourafft /usr/bin/gmake -f common/place/CMakeFiles/nextpnr_place.dir/build.make common/place/CMakeFiles/nextpnr_place.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/CMakeFiles/nextpnr_place.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f common/kernel/CMakeFiles/nextpnr_kernel.dir/build.make common/kernel/CMakeFiles/nextpnr_kernel.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'common/kernel/CMakeFiles/nextpnr_kernel.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f common/place/CMakeFiles/nextpnr_place.dir/build.make common/place/CMakeFiles/nextpnr_place.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'common/place/CMakeFiles/nextpnr_place.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 0%] Built target nextpnr_kernel [ 0%] Built target nextpnr_place /usr/bin/gmake -f common/route/CMakeFiles/nextpnr_route.dir/build.make common/route/CMakeFiles/nextpnr_route.dir/depend /usr/bin/gmake -f frontend/CMakeFiles/nextpnr_frontend.dir/build.make frontend/CMakeFiles/nextpnr_frontend.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/CMakeFiles/nextpnr_route.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/CMakeFiles/nextpnr_frontend.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f frontend/CMakeFiles/nextpnr_frontend.dir/build.make frontend/CMakeFiles/nextpnr_frontend.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'frontend/CMakeFiles/nextpnr_frontend.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f common/route/CMakeFiles/nextpnr_route.dir/build.make common/route/CMakeFiles/nextpnr_route.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'common/route/CMakeFiles/nextpnr_route.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 0%] Built target nextpnr_frontend /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" [ 0%] Built target nextpnr_route /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 1%] Generating chipdb/chipdb-384.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/bba && /usr/bin/g++ -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DNEXTPNR_USE_TBB -DNO_GUI -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/bba/main.cc cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 1%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 1%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/bbasm.dir/link.d CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so.1.83.0 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 1%] Built target bbasm /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 1%] Built target chipdb-himbaechel-example /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 1%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-1.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-1 -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba device GW1N-1: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin [ 1%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NZ-1.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NZ-1 -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba device GW1NZ-1: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin [ 1%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-4.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-4 -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba device GW1N-4: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 1%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin [ 1%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9 -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba device GW1N-9: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin [ 1%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9C.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9C -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba device GW1N-9C: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.5379660129547119 item 0.5424119830131531 item 0.7602660059928894 item 0.7647119760513306 name b_f: item 0.5364840030670166 item 0.5416709780693054 item 0.786201000213623 item 0.8143589496612549 name c_f: item 0.4119960069656372 item 0.4460819959640503 item 0.5942819714546204 item 0.6091020107269287 name d_f: item 0.28528499603271484 item 0.2756519913673401 item 0.46312499046325684 item 0.4638659954071045 name a_ofx: item 0.610584020614624 item 0.608361005783081 item 0.8714159727096558 item 0.875120997428894 name b_ofx: item 0.6091019511222839 item 0.6076200008392334 item 0.8973509669303894 item 0.9247680306434631 name c_ofx: item 0.48461398482322693 item 0.5120309591293335 item 0.7054319977760315 item 0.7195110321044922 name d_ofx: item 0.35790297389030457 item 0.34160101413726807 item 0.5742749571800232 item 0.5742749571800232 name m0_ofx0: item 0.24971701204776764 item 0.24749399721622467 item 0.3497520089149475 item 0.32381701469421387 name m1_ofx1: item 0.20377500355243683 item 0.24601198732852936 item 0.2875080108642578 item 0.37198197841644287 name fx_ofx1: item 0.04890599846839905 item 0.06965399533510208 item 0.13115699589252472 item 0.1207830011844635 group alu: name a_f: item 0.5364840030670166 item 0.5201820135116577 item 0.7365540266036987 item 0.7284029722213745 name b_f: item 0.39865797758102417 item 0.3830970227718353 item 0.5394479632377625 item 0.5209230184555054 name d_f: item 0.38606101274490356 item 0.291953980922699 item 0.5194410085678101 item 0.3993989825248718 name a0_fco: item 0.4408950209617615 item 0.5201820135116577 item 0.7083959579467773 item 0.7098780274391174 name b0_fco: item 0.4994340240955353 item 0.5253689885139465 item 0.7669349908828735 item 0.7743449807167053 name d0_fco: item 0.2237819880247116 item 0.24823500216007233 item 0.40755000710487366 item 0.3341909945011139 name fci_fco: item 0.022970998659729958 item 0.02519400045275688 item 0.04001400247216225 item 0.04223699867725372 name fci_f0: item 0.3571619987487793 item 0.31566599011421204 item 0.3912479877471924 item 0.4171830117702484 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2908424735069275 item 0.2896074950695038 group dff: name di_clksetpos: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clksetneg: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_qpos: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name clk_qneg: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name lsr_q: item 0.6483749747276306 item 0.9262499809265137 item 1.2683448791503906 item 1.3782600164413452 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_dob: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clk_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clka_reseta_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_ocea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_cea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_wrea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_dia_set: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ada_set: item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 name clka_blksel_set: item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_dia_hold: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_hold: item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_oceb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_ceb_set: item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_dib_set: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_blkset_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_adb_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_ce_set: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_oce_set: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_di_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ce_hold: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_blksel_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_reset_set_syn: item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 name clk_reset_hold_syn: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clka_reseta_set_syn: item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 name clka_reseta_hold_syn: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 group fanout: name X0Fan: item 0.10127000510692596 item 0.13646748661994934 item 0.11979499459266663 item 0.15993249416351318 name X1Fan: item 0.03025749884545803 item 0.14572998881340027 item 0.08212749660015106 item 0.23465000092983246 name SX1Fan: item 0.007409999612718821 item 0.03149249777197838 item 0.054957497864961624 item 0.07162999361753464 name X2Fan: item 0.0889199897646904 item 0.0876849964261055 item 0.10867999494075775 item 0.1080624982714653 name X8Fan: item 0.04384249821305275 item 0.06792499870061874 item 0.05310500040650368 item 0.09509499371051788 name FFan: item 0.03643249720335007 item 0.10065249353647232 item 0.0444599948823452 item 0.1259699910879135 name QFan: item 0.0209950003772974 item 0.05742749944329262 item 0.027787500992417336 item 0.07286499440670013 name OFFan: item 0.03149249777197838 item 0.06421999633312225 item 0.04631249979138374 item 0.07842249423265457 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_PCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.05261100083589554 item 0.0622439980506897 item 0.0674309954047203 item 0.07558199763298035 name CIB_CENT_SCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_SCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_SCLK: item 0.5320379734039307 item 0.5312970280647278 item 0.7180289626121521 item 0.7291439771652222 name SPINE_TAP_SCLK_0: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name SPINE_TAP_SCLK_1: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name TAP_BRANCH_SCLK: item 0.05112899839878082 item 0.05483400076627731 item 0.06965399533510208 item 0.07558199763298035 name BRANCH_SCLK: item 0.04223699867725372 item 0.041496001183986664 item 0.059279996901750565 item 0.058539003133773804 name GSRREC_SET: item 0.040754999965429306 item 0.040754999965429306 item 0.04890599846839905 item 0.04890599846839905 name GSRREC_HLD: item 0.037050001323223114 item 0.037050001323223114 item 0.044460002332925797 item 0.044460002332925797 name GSR_MPW: item 2.6920528411865234 item 2.695758104324341 item 3.230463743209839 item 3.234909772872925 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.07132124900817871 item 0.08150999248027802 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.11207624524831772 item 0.12226499617099762 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.15283124148845673 item 0.16301998496055603 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.19358624517917633 item 0.20377500355243683 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.23434126377105713 item 0.24452999234199524 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.27509623765945435 item 0.28528499603271484 item 0.23156249523162842 group wire: name X0: item 0.16968899965286255 item 0.18006299436092377 item 0.24230699241161346 item 0.2378610074520111 name FX1: item 0.22526399791240692 item 0.16376100480556488 item 0.3060329854488373 item 0.22452300786972046 name X2: item 0.19265998899936676 item 0.26009100675582886 item 0.26898300647735596 item 0.355679988861084 name X8: item 0.20747999846935272 item 0.30825600028038025 item 0.305292010307312 item 0.4764629900455475 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.6172530055046082 item 0.6498569846153259 item 0.6172530055046082 item 0.6498569846153259 name b_f: item 0.6091020107269287 item 0.6468930244445801 item 0.6091020107269287 item 0.6468930244445801 name c_f: item 0.5098080039024353 item 0.5046209692955017 item 0.5098080039024353 item 0.5046209692955017 name d_f: item 0.3927299678325653 item 0.32752200961112976 item 0.3927299678325653 item 0.32752200961112976 name a_ofx: item 0.701727032661438 item 0.7358129620552063 item 0.701727032661438 item 0.7358129620552063 name b_ofx: item 0.6935760378837585 item 0.7328490018844604 item 0.6935760378837585 item 0.7328490018844604 name c_ofx: item 0.5942820310592651 item 0.5905770063400269 item 0.5942820310592651 item 0.5905770063400269 name d_ofx: item 0.47720399498939514 item 0.41347798705101013 item 0.47720399498939514 item 0.41347798705101013 name m0_ofx0: item 0.30306899547576904 item 0.2786159813404083 item 0.30306899547576904 item 0.2786159813404083 name m1_ofx1: item 0.2400839924812317 item 0.3001050055027008 item 0.2400839924812317 item 0.5224049687385559 name fx_ofx1: item 0.0711359977722168 item 0.07780499756336212 item 0.0711359977722168 item 0.07780499756336212 group alu: name a_f: item 0.6216989755630493 item 0.6454110145568848 item 0.6216989755630493 item 0.6454110145568848 name b_f: item 0.4512690305709839 item 0.46238401532173157 item 0.4512690305709839 item 0.46238401532173157 name d_f: item 0.43793100118637085 item 0.3467879891395569 item 0.43793100118637085 item 0.3467879891395569 name a0_fco: item 0.5564910173416138 item 0.6165120005607605 item 0.5564910173416138 item 0.6165120005607605 name b0_fco: item 0.6142889857292175 item 0.6891300082206726 item 0.6142889857292175 item 0.6891300082206726 name d0_fco: item 0.3060329854488373 item 0.2964000105857849 item 0.3060329854488373 item 0.2964000105857849 name fci_fco: item 0.032604001462459564 item 0.028899000957608223 item 0.032604001462459564 item 0.028899000957608223 name fci_f0: item 0.37049999833106995 item 0.33344998955726624 item 0.37049999833106995 item 0.33344998955726624 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2439124882221222 item 0.23835499584674835 group dff: name di_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clksetneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name di_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_qpos: item 0.18463249504566193 item 0.34641748666763306 item 0.18463249504566193 item 0.34641748666763306 name clk_qneg: item 0.19265998899936676 item 0.3575325012207031 item 0.19265998899936676 item 0.3575325012207031 name lsr_q: item 0.8947575092315674 item 1.1738674640655518 item 0.8947575092315674 item 1.1738674640655518 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 name clkb_dob: item 0.1309099942445755 item 0.14264249801635742 item 0.1309099942445755 item 0.14264249801635742 name clkb_do: item 0.21550749242305756 item 0.16363748908042908 item 0.21550749242305756 item 0.16363748908042908 name clk_do: item 0.17722249031066895 item 0.16301998496055603 item 0.17722249031066895 item 0.16301998496055603 name clka_reseta_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_ocea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_cea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_wrea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_dia_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ada_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_dia_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_ceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_dib_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_blkset_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_adb_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_oce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 group fanout: name X0Fan: item 0.15190500020980835 item 0.204701229929924 item 0.15190500020980835 item 0.204701229929924 name X1Fan: item 0.04538624733686447 item 0.2185949832201004 item 0.04538624733686447 item 0.2185949832201004 name SX1Fan: item 0.0111149987205863 item 0.04723874852061272 item 0.0111149987205863 item 0.04723874852061272 name X2Fan: item 0.1333799958229065 item 0.13152749836444855 item 0.1333799958229065 item 0.13152749836444855 name X8Fan: item 0.06576374918222427 item 0.10188749432563782 item 0.06576374918222427 item 0.10188749432563782 name FFan: item 0.0546487458050251 item 0.15097874402999878 item 0.0546487458050251 item 0.15097874402999878 name QFan: item 0.03149250149726868 item 0.08614125102758408 item 0.03149250149726868 item 0.08614125102758408 name OFFan: item 0.04723874852061272 item 0.09632999449968338 item 0.04723874852061272 item 0.09632999449968338 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13577944040298462 item 0.13853171467781067 item 0.13577944040298462 item 0.13853171467781067 name PIO_CENT_PCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.06513743102550507 item 0.07706399261951447 item 0.06513743102550507 item 0.07706399261951447 name CIB_CENT_SCLK: item 0.1403665691614151 item 0.15504543483257294 item 0.1403665691614151 item 0.15504543483257294 name PIO_CENT_SCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_SCLK: item 0.13623812794685364 item 0.14770600199699402 item 0.13623812794685364 item 0.14770600199699402 name SPINE_TAP_SCLK_0: item 0.10871528834104538 item 0.10779786109924316 item 0.10871528834104538 item 0.10779786109924316 name SPINE_TAP_SCLK_1: item 0.14908212423324585 item 0.12614642083644867 item 0.14908212423324585 item 0.12614642083644867 name TAP_BRANCH_SCLK: item 0.14816471934318542 item 0.14403629302978516 item 0.14816471934318542 item 0.14403629302978516 name BRANCH_SCLK: item 0.07752271741628647 item 0.08302728831768036 item 0.07752271741628647 item 0.08302728831768036 name GSRREC_SET: item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 name GSRREC_HLD: item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 name GSR_MPW: item 3.3330180644989014 item 3.3376049995422363 item 3.3330180644989014 item 3.3376049995422363 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.04631249979138374 item 0.05557499825954437 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.08336249738931656 item 0.09262499958276749 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.12041249871253967 item 0.1296750009059906 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.1574624925851822 item 0.16672499477863312 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.1945124864578247 item 0.20377498865127563 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.23156249523162842 item 0.24082499742507935 item 0.23156249523162842 group wire: name X0: item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 name FX1: item 0.2823210060596466 item 0.19265998899936676 item 0.2823210060596466 item 0.19265998899936676 name X2: item 0.22822800278663635 item 0.3075149953365326 item 0.22822800278663635 item 0.3075149953365326 name X8: item 0.27268800139427185 item 0.40088099241256714 item 0.27268800139427185 item 0.40088099241256714 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NS-4.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NS-4 -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba device GW1NS-4: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.5379660129547119 item 0.5424119830131531 item 0.7602660059928894 item 0.7647119760513306 name b_f: item 0.5364840030670166 item 0.5416709780693054 item 0.786201000213623 item 0.8143589496612549 name c_f: item 0.4119960069656372 item 0.4460819959640503 item 0.5942819714546204 item 0.6091020107269287 name d_f: item 0.28528499603271484 item 0.2756519913673401 item 0.46312499046325684 item 0.4638659954071045 name a_ofx: item 0.610584020614624 item 0.608361005783081 item 0.8714159727096558 item 0.875120997428894 name b_ofx: item 0.6091019511222839 item 0.6076200008392334 item 0.8973509669303894 item 0.9247680306434631 name c_ofx: item 0.48461398482322693 item 0.5120309591293335 item 0.7054319977760315 item 0.7195110321044922 name d_ofx: item 0.35790297389030457 item 0.34160101413726807 item 0.5742749571800232 item 0.5742749571800232 name m0_ofx0: item 0.24971701204776764 item 0.24749399721622467 item 0.3497520089149475 item 0.32381701469421387 name m1_ofx1: item 0.20377500355243683 item 0.24601198732852936 item 0.2875080108642578 item 0.37198197841644287 name fx_ofx1: item 0.04890599846839905 item 0.06965399533510208 item 0.13115699589252472 item 0.1207830011844635 group alu: name a_f: item 0.5364840030670166 item 0.5201820135116577 item 0.7365540266036987 item 0.7284029722213745 name b_f: item 0.39865797758102417 item 0.3830970227718353 item 0.5394479632377625 item 0.5209230184555054 name d_f: item 0.38606101274490356 item 0.291953980922699 item 0.5194410085678101 item 0.3993989825248718 name a0_fco: item 0.4408950209617615 item 0.5201820135116577 item 0.7083959579467773 item 0.7098780274391174 name b0_fco: item 0.4994340240955353 item 0.5253689885139465 item 0.7669349908828735 item 0.7743449807167053 name d0_fco: item 0.2237819880247116 item 0.24823500216007233 item 0.40755000710487366 item 0.3341909945011139 name fci_fco: item 0.022970998659729958 item 0.02519400045275688 item 0.04001400247216225 item 0.04223699867725372 name fci_f0: item 0.3571619987487793 item 0.31566599011421204 item 0.3912479877471924 item 0.4171830117702484 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2908424735069275 item 0.2896074950695038 group dff: name di_clksetpos: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clksetneg: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_qpos: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name clk_qneg: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name lsr_q: item 0.6483749747276306 item 0.9262499809265137 item 1.2683448791503906 item 1.3782600164413452 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_dob: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clk_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clka_reseta_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_ocea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_cea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_wrea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_dia_set: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ada_set: item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 name clka_blksel_set: item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_dia_hold: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_hold: item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_oceb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_ceb_set: item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_dib_set: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_blkset_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_adb_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_ce_set: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_oce_set: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_di_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ce_hold: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_blksel_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_reset_set_syn: item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 name clk_reset_hold_syn: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clka_reseta_set_syn: item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 name clka_reseta_hold_syn: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 group fanout: name X0Fan: item 0.10127000510692596 item 0.13646748661994934 item 0.11979499459266663 item 0.15993249416351318 name X1Fan: item 0.03025749884545803 item 0.14572998881340027 item 0.08212749660015106 item 0.23465000092983246 name SX1Fan: item 0.007409999612718821 item 0.03149249777197838 item 0.054957497864961624 item 0.07162999361753464 name X2Fan: item 0.0889199897646904 item 0.0876849964261055 item 0.10867999494075775 item 0.1080624982714653 name X8Fan: item 0.04384249821305275 item 0.06792499870061874 item 0.05310500040650368 item 0.09509499371051788 name FFan: item 0.03643249720335007 item 0.10065249353647232 item 0.0444599948823452 item 0.1259699910879135 name QFan: item 0.0209950003772974 item 0.05742749944329262 item 0.027787500992417336 item 0.07286499440670013 name OFFan: item 0.03149249777197838 item 0.06421999633312225 item 0.04631249979138374 item 0.07842249423265457 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_PCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.05261100083589554 item 0.0622439980506897 item 0.0674309954047203 item 0.07558199763298035 name CIB_CENT_SCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_SCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_SCLK: item 0.5320379734039307 item 0.5312970280647278 item 0.7180289626121521 item 0.7291439771652222 name SPINE_TAP_SCLK_0: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name SPINE_TAP_SCLK_1: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name TAP_BRANCH_SCLK: item 0.05112899839878082 item 0.05483400076627731 item 0.06965399533510208 item 0.07558199763298035 name BRANCH_SCLK: item 0.04223699867725372 item 0.041496001183986664 item 0.059279996901750565 item 0.058539003133773804 name GSRREC_SET: item 0.040754999965429306 item 0.040754999965429306 item 0.04890599846839905 item 0.04890599846839905 name GSRREC_HLD: item 0.037050001323223114 item 0.037050001323223114 item 0.044460002332925797 item 0.044460002332925797 name GSR_MPW: item 2.6920528411865234 item 2.695758104324341 item 3.230463743209839 item 3.234909772872925 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.07132124900817871 item 0.08150999248027802 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.11207624524831772 item 0.12226499617099762 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.15283124148845673 item 0.16301998496055603 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.19358624517917633 item 0.20377500355243683 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.23434126377105713 item 0.24452999234199524 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.27509623765945435 item 0.28528499603271484 item 0.23156249523162842 group wire: name X0: item 0.16968899965286255 item 0.18006299436092377 item 0.24230699241161346 item 0.2378610074520111 name FX1: item 0.22526399791240692 item 0.16376100480556488 item 0.3060329854488373 item 0.22452300786972046 name X2: item 0.19265998899936676 item 0.26009100675582886 item 0.26898300647735596 item 0.355679988861084 name X8: item 0.20747999846935272 item 0.30825600028038025 item 0.305292010307312 item 0.4764629900455475 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.6172530055046082 item 0.6498569846153259 item 0.6172530055046082 item 0.6498569846153259 name b_f: item 0.6091020107269287 item 0.6468930244445801 item 0.6091020107269287 item 0.6468930244445801 name c_f: item 0.5098080039024353 item 0.5046209692955017 item 0.5098080039024353 item 0.5046209692955017 name d_f: item 0.3927299678325653 item 0.32752200961112976 item 0.3927299678325653 item 0.32752200961112976 name a_ofx: item 0.701727032661438 item 0.7358129620552063 item 0.701727032661438 item 0.7358129620552063 name b_ofx: item 0.6935760378837585 item 0.7328490018844604 item 0.6935760378837585 item 0.7328490018844604 name c_ofx: item 0.5942820310592651 item 0.5905770063400269 item 0.5942820310592651 item 0.5905770063400269 name d_ofx: item 0.47720399498939514 item 0.41347798705101013 item 0.47720399498939514 item 0.41347798705101013 name m0_ofx0: item 0.30306899547576904 item 0.2786159813404083 item 0.30306899547576904 item 0.2786159813404083 name m1_ofx1: item 0.2400839924812317 item 0.3001050055027008 item 0.2400839924812317 item 0.5224049687385559 name fx_ofx1: item 0.0711359977722168 item 0.07780499756336212 item 0.0711359977722168 item 0.07780499756336212 group alu: name a_f: item 0.6216989755630493 item 0.6454110145568848 item 0.6216989755630493 item 0.6454110145568848 name b_f: item 0.4512690305709839 item 0.46238401532173157 item 0.4512690305709839 item 0.46238401532173157 name d_f: item 0.43793100118637085 item 0.3467879891395569 item 0.43793100118637085 item 0.3467879891395569 name a0_fco: item 0.5564910173416138 item 0.6165120005607605 item 0.5564910173416138 item 0.6165120005607605 name b0_fco: item 0.6142889857292175 item 0.6891300082206726 item 0.6142889857292175 item 0.6891300082206726 name d0_fco: item 0.3060329854488373 item 0.2964000105857849 item 0.3060329854488373 item 0.2964000105857849 name fci_fco: item 0.032604001462459564 item 0.028899000957608223 item 0.032604001462459564 item 0.028899000957608223 name fci_f0: item 0.37049999833106995 item 0.33344998955726624 item 0.37049999833106995 item 0.33344998955726624 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2439124882221222 item 0.23835499584674835 group dff: name di_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clksetneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name di_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_qpos: item 0.18463249504566193 item 0.34641748666763306 item 0.18463249504566193 item 0.34641748666763306 name clk_qneg: item 0.19265998899936676 item 0.3575325012207031 item 0.19265998899936676 item 0.3575325012207031 name lsr_q: item 0.8947575092315674 item 1.1738674640655518 item 0.8947575092315674 item 1.1738674640655518 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 name clkb_dob: item 0.1309099942445755 item 0.14264249801635742 item 0.1309099942445755 item 0.14264249801635742 name clkb_do: item 0.21550749242305756 item 0.16363748908042908 item 0.21550749242305756 item 0.16363748908042908 name clk_do: item 0.17722249031066895 item 0.16301998496055603 item 0.17722249031066895 item 0.16301998496055603 name clka_reseta_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_ocea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_cea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_wrea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_dia_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ada_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_dia_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_ceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_dib_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_blkset_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_adb_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_oce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 group fanout: name X0Fan: item 0.15190500020980835 item 0.204701229929924 item 0.15190500020980835 item 0.204701229929924 name X1Fan: item 0.04538624733686447 item 0.2185949832201004 item 0.04538624733686447 item 0.2185949832201004 name SX1Fan: item 0.0111149987205863 item 0.04723874852061272 item 0.0111149987205863 item 0.04723874852061272 name X2Fan: item 0.1333799958229065 item 0.13152749836444855 item 0.1333799958229065 item 0.13152749836444855 name X8Fan: item 0.06576374918222427 item 0.10188749432563782 item 0.06576374918222427 item 0.10188749432563782 name FFan: item 0.0546487458050251 item 0.15097874402999878 item 0.0546487458050251 item 0.15097874402999878 name QFan: item 0.03149250149726868 item 0.08614125102758408 item 0.03149250149726868 item 0.08614125102758408 name OFFan: item 0.04723874852061272 item 0.09632999449968338 item 0.04723874852061272 item 0.09632999449968338 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13577944040298462 item 0.13853171467781067 item 0.13577944040298462 item 0.13853171467781067 name PIO_CENT_PCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.06513743102550507 item 0.07706399261951447 item 0.06513743102550507 item 0.07706399261951447 name CIB_CENT_SCLK: item 0.1403665691614151 item 0.15504543483257294 item 0.1403665691614151 item 0.15504543483257294 name PIO_CENT_SCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_SCLK: item 0.13623812794685364 item 0.14770600199699402 item 0.13623812794685364 item 0.14770600199699402 name SPINE_TAP_SCLK_0: item 0.10871528834104538 item 0.10779786109924316 item 0.10871528834104538 item 0.10779786109924316 name SPINE_TAP_SCLK_1: item 0.14908212423324585 item 0.12614642083644867 item 0.14908212423324585 item 0.12614642083644867 name TAP_BRANCH_SCLK: item 0.14816471934318542 item 0.14403629302978516 item 0.14816471934318542 item 0.14403629302978516 name BRANCH_SCLK: item 0.07752271741628647 item 0.08302728831768036 item 0.07752271741628647 item 0.08302728831768036 name GSRREC_SET: item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 name GSRREC_HLD: item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 name GSR_MPW: item 3.3330180644989014 item 3.3376049995422363 item 3.3330180644989014 item 3.3376049995422363 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.04631249979138374 item 0.05557499825954437 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.08336249738931656 item 0.09262499958276749 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.12041249871253967 item 0.1296750009059906 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.1574624925851822 item 0.16672499477863312 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.1945124864578247 item 0.20377498865127563 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.23156249523162842 item 0.24082499742507935 item 0.23156249523162842 group wire: name X0: item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 name FX1: item 0.2823210060596466 item 0.19265998899936676 item 0.2823210060596466 item 0.19265998899936676 name X2: item 0.22822800278663635 item 0.3075149953365326 item 0.22822800278663635 item 0.3075149953365326 name X8: item 0.27268800139427185 item 0.40088099241256714 item 0.27268800139427185 item 0.40088099241256714 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18 -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba device GW2A-18: speed C8/I7: group lut: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name c_f: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a_ofx: item 0.4440000057220459 item 0.3960000276565552 item 0.6540000438690186 item 0.6200000047683716 name b_ofx: item 0.45100000500679016 item 0.41600000858306885 item 0.675000011920929 item 0.6579999923706055 name c_ofx: item 0.3700000047683716 item 0.34200000762939453 item 0.5670000314712524 item 0.5559999942779541 name d_ofx: item 0.29499998688697815 item 0.2839999794960022 item 0.43199998140335083 item 0.4739999771118164 name m0_ofx0: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name m1_ofx1: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name fx_ofx1: item 0.05999999865889549 item 0.052000001072883606 item 0.10499999672174454 item 0.10300000011920929 group alu: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a0_fco: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b0_fco: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d0_fco: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name fci_fco: item 0.020999999716877937 item 0.02199999988079071 item 0.035100001841783524 item 0.03519999980926514 name fci_f0: item 0.23499999940395355 item 0.23100000619888306 item 0.4259999990463257 item 0.4699999988079071 group sram: name rad0_do: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name rad1_do: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name rad2_do: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name rad3_do: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12099999934434891 item 0.10700000077486038 item 0.13699999451637268 item 0.1420000046491623 name FX1: item 0.13600000739097595 item 0.11299999803304672 item 0.1679999977350235 item 0.15700000524520874 name X2: item 0.11900000274181366 item 0.1459999978542328 item 0.18000000715255737 item 0.2370000034570694 name X8: item 0.1469999998807907 item 0.18299999833106995 item 0.21299999952316284 item 0.26600000262260437 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C8/I7_LV: group lut: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name c_f: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a_ofx: item 0.5049999952316284 item 0.47099998593330383 item 0.5049999952316284 item 0.47099998593330383 name b_ofx: item 0.515999972820282 item 0.49300000071525574 item 0.515999972820282 item 0.49300000071525574 name c_ofx: item 0.4230000078678131 item 0.4099999964237213 item 0.4230000078678131 item 0.4099999964237213 name d_ofx: item 0.3319999873638153 item 0.3409999907016754 item 0.3319999873638153 item 0.3409999907016754 name m0_ofx0: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name m1_ofx1: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name fx_ofx1: item 0.21299999952316284 item 0.19900000095367432 item 0.21299999952316284 item 0.19900000095367432 group alu: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a0_fco: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b0_fco: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d0_fco: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name fci_fco: item 0.023000000044703484 item 0.024000000208616257 item 0.023000000044703484 item 0.024000000208616257 name fci_f0: item 0.2709999978542328 item 0.27399998903274536 item 0.2709999978542328 item 0.27399998903274536 group sram: name rad0_do: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name rad1_do: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name rad2_do: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name rad3_do: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12800000607967377 item 0.11800000071525574 item 0.12800000607967377 item 0.11800000071525574 name FX1: item 0.14900000393390656 item 0.12800000607967377 item 0.14900000393390656 item 0.12800000607967377 name X2: item 0.16200000047683716 item 0.18799999356269836 item 0.16200000047683716 item 0.18799999356269836 name X8: item 0.1720000058412552 item 0.21400000154972076 item 0.1720000058412552 item 0.21400000154972076 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name c_f: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a_ofx: item 0.5550000071525574 item 0.49500003457069397 item 0.8175000548362732 item 0.7749999761581421 name b_ofx: item 0.5637500286102295 item 0.5199999809265137 item 0.84375 item 0.8224999904632568 name c_ofx: item 0.4625000059604645 item 0.42750000953674316 item 0.7087500095367432 item 0.6949999928474426 name d_ofx: item 0.3687499761581421 item 0.35499995946884155 item 0.5399999618530273 item 0.5924999713897705 name m0_ofx0: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name m1_ofx1: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name fx_ofx1: item 0.07499999552965164 item 0.06499999761581421 item 0.13124999403953552 item 0.1287499964237213 group alu: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a0_fco: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b0_fco: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d0_fco: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name fci_fco: item 0.026249999180436134 item 0.027499999850988388 item 0.04387500137090683 item 0.04399999976158142 name fci_f0: item 0.29374998807907104 item 0.2887499928474426 item 0.5325000286102295 item 0.5874999761581421 group sram: name rad0_do: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name rad1_do: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name rad2_do: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name rad3_do: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666805744171 item 0.3216666579246521 item 0.39249998331069946 item 0.3908333480358124 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15125000476837158 item 0.13375000655651093 item 0.17124998569488525 item 0.17750000953674316 name FX1: item 0.17000001668930054 item 0.14124999940395355 item 0.20999999344348907 item 0.19625000655651093 name X2: item 0.14875000715255737 item 0.18250000476837158 item 0.22500000894069672 item 0.29625001549720764 name X8: item 0.1837500035762787 item 0.22874999046325684 item 0.26625001430511475 item 0.33250001072883606 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.16583332419395447 item 0.16750000417232513 item 0.17249999940395355 item 0.17750000953674316 name X0CLK: item 0.17916665971279144 item 0.18416666984558105 item 0.2083333432674408 item 0.21416665613651276 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name c_f: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a_ofx: item 0.6060000061988831 item 0.5652000308036804 item 0.6060000061988831 item 0.5652000308036804 name b_ofx: item 0.6191999912261963 item 0.5916000008583069 item 0.6191999912261963 item 0.5916000008583069 name c_ofx: item 0.5076000094413757 item 0.492000013589859 item 0.5076000094413757 item 0.492000013589859 name d_ofx: item 0.3984000086784363 item 0.4092000126838684 item 0.3984000086784363 item 0.4092000126838684 name m0_ofx0: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name m1_ofx1: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name fx_ofx1: item 0.2556000053882599 item 0.23880000412464142 item 0.2556000053882599 item 0.23880000412464142 group alu: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a0_fco: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b0_fco: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d0_fco: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name fci_fco: item 0.0276000015437603 item 0.028800001367926598 item 0.0276000015437603 item 0.028800001367926598 name fci_f0: item 0.32520002126693726 item 0.3287999927997589 item 0.32520002126693726 item 0.3287999927997589 group sram: name rad0_do: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name rad1_do: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name rad2_do: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name rad3_do: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name clk_di_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_di_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wre_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wre_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad0_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad0_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad1_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad1_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad2_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad2_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad3_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad3_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_do: item 0.3160000443458557 item 0.30880001187324524 item 0.376800000667572 item 0.3752000331878662 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15360000729560852 item 0.14160001277923584 item 0.15360000729560852 item 0.14160001277923584 name FX1: item 0.17880001664161682 item 0.15360000729560852 item 0.17880001664161682 item 0.15360000729560852 name X2: item 0.19440001249313354 item 0.225600004196167 item 0.19440001249313354 item 0.225600004196167 name X8: item 0.20640002191066742 item 0.2568000257015228 item 0.20640002191066742 item 0.2568000257015228 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.15919999778270721 item 0.1608000099658966 item 0.1656000018119812 item 0.170400008559227 name X0CLK: item 0.1720000058412552 item 0.17680001258850098 item 0.20000001788139343 item 0.20560000836849213 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6: group lut: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name c_f: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a_ofx: item 0.6393600106239319 item 0.5702400803565979 item 0.9417601227760315 item 0.8928000330924988 name b_ofx: item 0.6494400501251221 item 0.5990400314331055 item 0.9720000624656677 item 0.9475200176239014 name c_ofx: item 0.532800018787384 item 0.4924800395965576 item 0.8164801001548767 item 0.8006400465965271 name d_ofx: item 0.42480000853538513 item 0.4089599847793579 item 0.6220799684524536 item 0.6825599670410156 name m0_ofx0: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name m1_ofx1: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name fx_ofx1: item 0.08640000224113464 item 0.07488000392913818 item 0.15119999647140503 item 0.1483200043439865 group alu: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a0_fco: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b0_fco: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d0_fco: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name fci_fco: item 0.030240001156926155 item 0.03168000280857086 item 0.05054400488734245 item 0.0506880022585392 name fci_f0: item 0.3384000062942505 item 0.33264002203941345 item 0.6134400367736816 item 0.676800012588501 group sram: name rad0_do: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name rad1_do: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name rad2_do: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name rad3_do: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.17424000799655914 item 0.15408000349998474 item 0.19728000462055206 item 0.20448002219200134 name FX1: item 0.1958400160074234 item 0.16272000968456268 item 0.24192000925540924 item 0.2260800153017044 name X2: item 0.17136001586914062 item 0.21024000644683838 item 0.25920000672340393 item 0.3412800133228302 name X8: item 0.21168000996112823 item 0.2635200023651123 item 0.3067200183868408 item 0.38304001092910767 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6_LV: group lut: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name c_f: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a_ofx: item 0.7272000312805176 item 0.6782400012016296 item 0.7272000312805176 item 0.6782400012016296 name b_ofx: item 0.7430399656295776 item 0.7099200487136841 item 0.7430399656295776 item 0.7099200487136841 name c_ofx: item 0.6091200113296509 item 0.5904000401496887 item 0.6091200113296509 item 0.5904000401496887 name d_ofx: item 0.47808000445365906 item 0.4910399913787842 item 0.47808000445365906 item 0.4910399913787842 name m0_ofx0: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name m1_ofx1: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name fx_ofx1: item 0.3067200183868408 item 0.2865599989891052 item 0.3067200183868408 item 0.2865599989891052 group alu: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a0_fco: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b0_fco: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d0_fco: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name fci_fco: item 0.03312000259757042 item 0.03456000238656998 item 0.03312000259757042 item 0.03456000238656998 name fci_f0: item 0.39024001359939575 item 0.3945600092411041 item 0.39024001359939575 item 0.3945600092411041 group sram: name rad0_do: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name rad1_do: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name rad2_do: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name rad3_do: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.18432001769542694 item 0.16992001235485077 item 0.18432001769542694 item 0.16992001235485077 name FX1: item 0.21456001698970795 item 0.18432001769542694 item 0.21456001698970795 item 0.18432001769542694 name X2: item 0.2332800030708313 item 0.2707200050354004 item 0.2332800030708313 item 0.2707200050354004 name X8: item 0.24768002331256866 item 0.3081600069999695 item 0.24768002331256866 item 0.3081600069999695 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8: group lut: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name c_f: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a_ofx: item 0.3827280104160309 item 0.34135201573371887 item 0.5637480020523071 item 0.5344399809837341 name b_ofx: item 0.3887619972229004 item 0.3585920035839081 item 0.581849992275238 item 0.5671960115432739 name c_ofx: item 0.31894001364707947 item 0.29480400681495667 item 0.4887540340423584 item 0.4792719781398773 name d_ofx: item 0.25428998470306396 item 0.24480797350406647 item 0.3723839819431305 item 0.4085879623889923 name m0_ofx0: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name m1_ofx1: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name fx_ofx1: item 0.05171999707818031 item 0.04482400044798851 item 0.09050999581813812 item 0.08878599852323532 group alu: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a0_fco: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b0_fco: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d0_fco: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name fci_fco: item 0.018101999536156654 item 0.018964000046253204 item 0.030256200581789017 item 0.030342400074005127 name fci_f0: item 0.20256999135017395 item 0.19912199676036835 item 0.3672119975090027 item 0.4051399827003479 group sram: name rad0_do: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name rad1_do: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name rad2_do: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name rad3_do: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name clk_di_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wre_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad0_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad0_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad1_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad1_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad2_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad2_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad3_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad3_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_do: item 0.2269933521747589 item 0.22182133793830872 item 0.2706679701805115 item 0.2695186734199524 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.10430199652910233 item 0.09223400056362152 item 0.11809399724006653 item 0.12240400165319443 name FX1: item 0.11723200231790543 item 0.09740599989891052 item 0.14481599628925323 item 0.13533399999141693 name X2: item 0.10257799923419952 item 0.12585200369358063 item 0.15516000986099243 item 0.20429399609565735 name X8: item 0.12671399116516113 item 0.15774600207805634 item 0.18360599875450134 item 0.22929200530052185 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11435866355895996 item 0.11550800502300262 item 0.11895599216222763 item 0.12240400165319443 name X0CLK: item 0.1235533282160759 item 0.1270013302564621 item 0.14366666972637177 item 0.1476893275976181 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8_LV: group lut: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name c_f: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a_ofx: item 0.4343000054359436 item 0.4050599932670593 item 0.4343000054359436 item 0.4050599932670593 name b_ofx: item 0.4437599778175354 item 0.4239799976348877 item 0.4437599778175354 item 0.4239799976348877 name c_ofx: item 0.36378002166748047 item 0.35260000824928284 item 0.36378002166748047 item 0.35260000824928284 name d_ofx: item 0.2855199873447418 item 0.29326000809669495 item 0.2855199873447418 item 0.29326000809669495 name m0_ofx0: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name m1_ofx1: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name fx_ofx1: item 0.18318000435829163 item 0.17114000022411346 item 0.18318000435829163 item 0.17114000022411346 group alu: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a0_fco: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b0_fco: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d0_fco: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name fci_fco: item 0.019780000671744347 item 0.020640000700950623 item 0.019780000671744347 item 0.020640000700950623 name fci_f0: item 0.23306000232696533 item 0.23563998937606812 item 0.23306000232696533 item 0.23563998937606812 group sram: name rad0_do: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name rad1_do: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name rad2_do: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name rad3_do: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name clk_di_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_di_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wre_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wre_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad0_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad0_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad1_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad1_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad2_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad2_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad3_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad3_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_do: item 0.22646668553352356 item 0.2213066816329956 item 0.27003997564315796 item 0.26889336109161377 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.11008000373840332 item 0.10147999972105026 item 0.11008000373840332 item 0.10147999972105026 name FX1: item 0.12814000248908997 item 0.11008000373840332 item 0.12814000248908997 item 0.11008000373840332 name X2: item 0.1393200010061264 item 0.16167999804019928 item 0.1393200010061264 item 0.16167999804019928 name X8: item 0.14792001247406006 item 0.18404000997543335 item 0.14792001247406006 item 0.18404000997543335 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11409333348274231 item 0.11524000763893127 item 0.11868000030517578 item 0.12212000787258148 name X0CLK: item 0.12326666712760925 item 0.12670667469501495 item 0.1433333456516266 item 0.14734666049480438 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18C.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18C -o /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba device GW2A-18C: speed C8/I7: group lut: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name c_f: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a_ofx: item 0.4440000057220459 item 0.3960000276565552 item 0.6540000438690186 item 0.6200000047683716 name b_ofx: item 0.45100000500679016 item 0.41600000858306885 item 0.675000011920929 item 0.6579999923706055 name c_ofx: item 0.3700000047683716 item 0.34200000762939453 item 0.5670000314712524 item 0.5559999942779541 name d_ofx: item 0.29499998688697815 item 0.2839999794960022 item 0.43199998140335083 item 0.4739999771118164 name m0_ofx0: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name m1_ofx1: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name fx_ofx1: item 0.05999999865889549 item 0.052000001072883606 item 0.10499999672174454 item 0.10300000011920929 group alu: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a0_fco: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b0_fco: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d0_fco: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name fci_fco: item 0.020999999716877937 item 0.02199999988079071 item 0.035100001841783524 item 0.03519999980926514 name fci_f0: item 0.23499999940395355 item 0.23100000619888306 item 0.4259999990463257 item 0.4699999988079071 group sram: name rad0_do: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name rad1_do: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name rad2_do: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name rad3_do: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12099999934434891 item 0.10700000077486038 item 0.13699999451637268 item 0.1420000046491623 name FX1: item 0.13600000739097595 item 0.11299999803304672 item 0.1679999977350235 item 0.15700000524520874 name X2: item 0.11900000274181366 item 0.1459999978542328 item 0.18000000715255737 item 0.2370000034570694 name X8: item 0.1469999998807907 item 0.18299999833106995 item 0.21299999952316284 item 0.26600000262260437 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C8/I7_LV: group lut: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name c_f: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a_ofx: item 0.5049999952316284 item 0.47099998593330383 item 0.5049999952316284 item 0.47099998593330383 name b_ofx: item 0.515999972820282 item 0.49300000071525574 item 0.515999972820282 item 0.49300000071525574 name c_ofx: item 0.4230000078678131 item 0.4099999964237213 item 0.4230000078678131 item 0.4099999964237213 name d_ofx: item 0.3319999873638153 item 0.3409999907016754 item 0.3319999873638153 item 0.3409999907016754 name m0_ofx0: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name m1_ofx1: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name fx_ofx1: item 0.21299999952316284 item 0.19900000095367432 item 0.21299999952316284 item 0.19900000095367432 group alu: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a0_fco: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b0_fco: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d0_fco: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name fci_fco: item 0.023000000044703484 item 0.024000000208616257 item 0.023000000044703484 item 0.024000000208616257 name fci_f0: item 0.2709999978542328 item 0.27399998903274536 item 0.2709999978542328 item 0.27399998903274536 group sram: name rad0_do: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name rad1_do: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name rad2_do: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name rad3_do: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12800000607967377 item 0.11800000071525574 item 0.12800000607967377 item 0.11800000071525574 name FX1: item 0.14900000393390656 item 0.12800000607967377 item 0.14900000393390656 item 0.12800000607967377 name X2: item 0.16200000047683716 item 0.18799999356269836 item 0.16200000047683716 item 0.18799999356269836 name X8: item 0.1720000058412552 item 0.21400000154972076 item 0.1720000058412552 item 0.21400000154972076 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name c_f: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a_ofx: item 0.5550000071525574 item 0.49500003457069397 item 0.8175000548362732 item 0.7749999761581421 name b_ofx: item 0.5637500286102295 item 0.5199999809265137 item 0.84375 item 0.8224999904632568 name c_ofx: item 0.4625000059604645 item 0.42750000953674316 item 0.7087500095367432 item 0.6949999928474426 name d_ofx: item 0.3687499761581421 item 0.35499995946884155 item 0.5399999618530273 item 0.5924999713897705 name m0_ofx0: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name m1_ofx1: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name fx_ofx1: item 0.07499999552965164 item 0.06499999761581421 item 0.13124999403953552 item 0.1287499964237213 group alu: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a0_fco: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b0_fco: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d0_fco: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name fci_fco: item 0.026249999180436134 item 0.027499999850988388 item 0.04387500137090683 item 0.04399999976158142 name fci_f0: item 0.29374998807907104 item 0.2887499928474426 item 0.5325000286102295 item 0.5874999761581421 group sram: name rad0_do: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name rad1_do: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name rad2_do: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name rad3_do: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666805744171 item 0.3216666579246521 item 0.39249998331069946 item 0.3908333480358124 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15125000476837158 item 0.13375000655651093 item 0.17124998569488525 item 0.17750000953674316 name FX1: item 0.17000001668930054 item 0.14124999940395355 item 0.20999999344348907 item 0.19625000655651093 name X2: item 0.14875000715255737 item 0.18250000476837158 item 0.22500000894069672 item 0.29625001549720764 name X8: item 0.1837500035762787 item 0.22874999046325684 item 0.26625001430511475 item 0.33250001072883606 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.16583332419395447 item 0.16750000417232513 item 0.17249999940395355 item 0.17750000953674316 name X0CLK: item 0.17916665971279144 item 0.18416666984558105 item 0.2083333432674408 item 0.21416665613651276 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name c_f: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a_ofx: item 0.6060000061988831 item 0.5652000308036804 item 0.6060000061988831 item 0.5652000308036804 name b_ofx: item 0.6191999912261963 item 0.5916000008583069 item 0.6191999912261963 item 0.5916000008583069 name c_ofx: item 0.5076000094413757 item 0.492000013589859 item 0.5076000094413757 item 0.492000013589859 name d_ofx: item 0.3984000086784363 item 0.4092000126838684 item 0.3984000086784363 item 0.4092000126838684 name m0_ofx0: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name m1_ofx1: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name fx_ofx1: item 0.2556000053882599 item 0.23880000412464142 item 0.2556000053882599 item 0.23880000412464142 group alu: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a0_fco: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b0_fco: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d0_fco: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name fci_fco: item 0.0276000015437603 item 0.028800001367926598 item 0.0276000015437603 item 0.028800001367926598 name fci_f0: item 0.32520002126693726 item 0.3287999927997589 item 0.32520002126693726 item 0.3287999927997589 group sram: name rad0_do: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name rad1_do: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name rad2_do: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name rad3_do: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name clk_di_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_di_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wre_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wre_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad0_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad0_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad1_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad1_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad2_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad2_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad3_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad3_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_do: item 0.3160000443458557 item 0.30880001187324524 item 0.376800000667572 item 0.3752000331878662 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15360000729560852 item 0.14160001277923584 item 0.15360000729560852 item 0.14160001277923584 name FX1: item 0.17880001664161682 item 0.15360000729560852 item 0.17880001664161682 item 0.15360000729560852 name X2: item 0.19440001249313354 item 0.225600004196167 item 0.19440001249313354 item 0.225600004196167 name X8: item 0.20640002191066742 item 0.2568000257015228 item 0.20640002191066742 item 0.2568000257015228 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.15919999778270721 item 0.1608000099658966 item 0.1656000018119812 item 0.170400008559227 name X0CLK: item 0.1720000058412552 item 0.17680001258850098 item 0.20000001788139343 item 0.20560000836849213 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6: group lut: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name c_f: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a_ofx: item 0.6393600106239319 item 0.5702400803565979 item 0.9417601227760315 item 0.8928000330924988 name b_ofx: item 0.6494400501251221 item 0.5990400314331055 item 0.9720000624656677 item 0.9475200176239014 name c_ofx: item 0.532800018787384 item 0.4924800395965576 item 0.8164801001548767 item 0.8006400465965271 name d_ofx: item 0.42480000853538513 item 0.4089599847793579 item 0.6220799684524536 item 0.6825599670410156 name m0_ofx0: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name m1_ofx1: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name fx_ofx1: item 0.08640000224113464 item 0.07488000392913818 item 0.15119999647140503 item 0.1483200043439865 group alu: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a0_fco: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b0_fco: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d0_fco: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name fci_fco: item 0.030240001156926155 item 0.03168000280857086 item 0.05054400488734245 item 0.0506880022585392 name fci_f0: item 0.3384000062942505 item 0.33264002203941345 item 0.6134400367736816 item 0.676800012588501 group sram: name rad0_do: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name rad1_do: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name rad2_do: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name rad3_do: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.17424000799655914 item 0.15408000349998474 item 0.19728000462055206 item 0.20448002219200134 name FX1: item 0.1958400160074234 item 0.16272000968456268 item 0.24192000925540924 item 0.2260800153017044 name X2: item 0.17136001586914062 item 0.21024000644683838 item 0.25920000672340393 item 0.3412800133228302 name X8: item 0.21168000996112823 item 0.2635200023651123 item 0.3067200183868408 item 0.38304001092910767 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6_LV: group lut: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name c_f: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a_ofx: item 0.7272000312805176 item 0.6782400012016296 item 0.7272000312805176 item 0.6782400012016296 name b_ofx: item 0.7430399656295776 item 0.7099200487136841 item 0.7430399656295776 item 0.7099200487136841 name c_ofx: item 0.6091200113296509 item 0.5904000401496887 item 0.6091200113296509 item 0.5904000401496887 name d_ofx: item 0.47808000445365906 item 0.4910399913787842 item 0.47808000445365906 item 0.4910399913787842 name m0_ofx0: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name m1_ofx1: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name fx_ofx1: item 0.3067200183868408 item 0.2865599989891052 item 0.3067200183868408 item 0.2865599989891052 group alu: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a0_fco: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b0_fco: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d0_fco: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name fci_fco: item 0.03312000259757042 item 0.03456000238656998 item 0.03312000259757042 item 0.03456000238656998 name fci_f0: item 0.39024001359939575 item 0.3945600092411041 item 0.39024001359939575 item 0.3945600092411041 group sram: name rad0_do: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name rad1_do: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name rad2_do: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name rad3_do: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.18432001769542694 item 0.16992001235485077 item 0.18432001769542694 item 0.16992001235485077 name FX1: item 0.21456001698970795 item 0.18432001769542694 item 0.21456001698970795 item 0.18432001769542694 name X2: item 0.2332800030708313 item 0.2707200050354004 item 0.2332800030708313 item 0.2707200050354004 name X8: item 0.24768002331256866 item 0.3081600069999695 item 0.24768002331256866 item 0.3081600069999695 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8: group lut: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name c_f: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a_ofx: item 0.3827280104160309 item 0.34135201573371887 item 0.5637480020523071 item 0.5344399809837341 name b_ofx: item 0.3887619972229004 item 0.3585920035839081 item 0.581849992275238 item 0.5671960115432739 name c_ofx: item 0.31894001364707947 item 0.29480400681495667 item 0.4887540340423584 item 0.4792719781398773 name d_ofx: item 0.25428998470306396 item 0.24480797350406647 item 0.3723839819431305 item 0.4085879623889923 name m0_ofx0: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name m1_ofx1: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name fx_ofx1: item 0.05171999707818031 item 0.04482400044798851 item 0.09050999581813812 item 0.08878599852323532 group alu: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a0_fco: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b0_fco: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d0_fco: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name fci_fco: item 0.018101999536156654 item 0.018964000046253204 item 0.030256200581789017 item 0.030342400074005127 name fci_f0: item 0.20256999135017395 item 0.19912199676036835 item 0.3672119975090027 item 0.4051399827003479 group sram: name rad0_do: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name rad1_do: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name rad2_do: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name rad3_do: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name clk_di_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wre_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad0_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad0_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad1_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad1_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad2_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad2_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad3_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad3_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_do: item 0.2269933521747589 item 0.22182133793830872 item 0.2706679701805115 item 0.2695186734199524 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.10430199652910233 item 0.09223400056362152 item 0.11809399724006653 item 0.12240400165319443 name FX1: item 0.11723200231790543 item 0.09740599989891052 item 0.14481599628925323 item 0.13533399999141693 name X2: item 0.10257799923419952 item 0.12585200369358063 item 0.15516000986099243 item 0.20429399609565735 name X8: item 0.12671399116516113 item 0.15774600207805634 item 0.18360599875450134 item 0.22929200530052185 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11435866355895996 item 0.11550800502300262 item 0.11895599216222763 item 0.12240400165319443 name X0CLK: item 0.1235533282160759 item 0.1270013302564621 item 0.14366666972637177 item 0.1476893275976181 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8_LV: group lut: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name c_f: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a_ofx: item 0.4343000054359436 item 0.4050599932670593 item 0.4343000054359436 item 0.4050599932670593 name b_ofx: item 0.4437599778175354 item 0.4239799976348877 item 0.4437599778175354 item 0.4239799976348877 name c_ofx: item 0.36378002166748047 item 0.35260000824928284 item 0.36378002166748047 item 0.35260000824928284 name d_ofx: item 0.2855199873447418 item 0.29326000809669495 item 0.2855199873447418 item 0.29326000809669495 name m0_ofx0: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name m1_ofx1: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name fx_ofx1: item 0.18318000435829163 item 0.17114000022411346 item 0.18318000435829163 item 0.17114000022411346 group alu: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a0_fco: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b0_fco: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d0_fco: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name fci_fco: item 0.019780000671744347 item 0.020640000700950623 item 0.019780000671744347 item 0.020640000700950623 name fci_f0: item 0.23306000232696533 item 0.23563998937606812 item 0.23306000232696533 item 0.23563998937606812 group sram: name rad0_do: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name rad1_do: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name rad2_do: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name rad3_do: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name clk_di_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_di_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wre_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wre_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad0_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad0_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad1_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad1_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad2_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad2_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad3_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad3_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_do: item 0.22646668553352356 item 0.2213066816329956 item 0.27003997564315796 item 0.26889336109161377 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.11008000373840332 item 0.10147999972105026 item 0.11008000373840332 item 0.10147999972105026 name FX1: item 0.12814000248908997 item 0.11008000373840332 item 0.12814000248908997 item 0.11008000373840332 name X2: item 0.1393200010061264 item 0.16167999804019928 item 0.1393200010061264 item 0.16167999804019928 name X8: item 0.14792001247406006 item 0.18404000997543335 item 0.14792001247406006 item 0.18404000997543335 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11409333348274231 item 0.11524000763893127 item 0.11868000030517578 item 0.12212000787258148 name X0CLK: item 0.12326666712760925 item 0.12670667469501495 item 0.1433333456516266 item 0.14734666049480438 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 2%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 2%] Built target chipdb-himbaechel-gowin /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 3%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a100t.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a100t --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 3%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new Processing nodes... Deduplicating tile shapes... 7680 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin [ 3%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a200t.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a200t --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 3%] Built target chipdb-ice40-bbas [ 3%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a50t.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a50t --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin /usr/bin/gmake -f himbaechel/uarch/ng-ultra/CMakeFiles/chipdb-himbaechel-ng-ultra.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/chipdb-himbaechel-ng-ultra.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/CMakeFiles/chipdb-himbaechel-ng-ultra.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f himbaechel/uarch/ng-ultra/CMakeFiles/chipdb-himbaechel-ng-ultra.dir/build.make himbaechel/uarch/ng-ultra/CMakeFiles/chipdb-himbaechel-ng-ultra.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' gmake[2]: Nothing to be done for 'himbaechel/uarch/ng-ultra/CMakeFiles/chipdb-himbaechel-ng-ultra.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 3%] Built target chipdb-himbaechel-ng-ultra [ 3%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7k70t.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7k70t --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba Processing nodes... Deduplicating tile shapes... 12613 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin [ 3%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7s50.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7s50 --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba Processing nodes... Deduplicating tile shapes... 6246 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin [ 4%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z010.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z010 --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin [ 4%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z020.bin cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z020 --bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba Processing nodes... Deduplicating tile shapes... 3034 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 4%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/arch.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/arch_pybindings.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/cells.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/main.cc Processing nodes... Deduplicating tile shapes... 7413 unique tile routing shapes cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 5%] Built target chipdb-himbaechel-xilinx /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-himbaechel.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 6%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/arch.cc [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/pack.cc [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct_api.cc [ 6%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/arch_pybindings.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct_helpers.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct/example/example.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/himbaechel_api.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct/okami/okami.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/himbaechel_helpers.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct/fabulous/fabulous.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/main.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct/fabulous/fasm.cc [ 9%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/example/example.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct/fabulous/pack.cc [ 9%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/cst.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic/viaduct/fabulous/validity_check.cc [ 9%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/globals.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc [ 9%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/gowin_utils.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/gowin/pack.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/cells.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/fasm.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 13%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pack.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 13%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pack_carry.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pack_clocking.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 15%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pack_dram.cc [ 15%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pack_dsp_xc7.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pack_io.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/pins.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/xdc.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/xilinx.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 18%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/xilinx/xilinx_place.cc [ 18%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 18%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/bitstream.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/bitstream.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/bitstream.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/bitstream.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/cells.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/cells.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/csv.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/csv.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/csv.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/csv.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/csv.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/location_map.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/location_map.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/location_map.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/location_map.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/location_map.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/ng_ultra.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/ng_ultra.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/ng_ultra.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/ng_ultra.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/ng_ultra.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel/uarch/ng-ultra/pack.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc [ 21%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 21%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc [ 25%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generic -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 25%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-generic.dir/link.d "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" -o nextpnr-generic -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1.83[ 25%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 28%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 28%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 28%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 28%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 28%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 29%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 29%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 29%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 29%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 30%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc .0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 30%] Built target nextpnr-generic /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 31%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 31%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 31%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 31%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 31%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc [ 31%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 31%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 31%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc [ 31%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc [ 32%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 32%] Built target chipdb-ice40-bins /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 32%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 32%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [ 32%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc [ 32%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 33%] Linking CXX executable nextpnr-himbaechel /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-himbaechel.dir/link.d "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/bitstream.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/cells.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/csv.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/location_map.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/ng_ultra.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/ng-ultra/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/commocd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 33%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new n/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o" -o nextpnr-himbaechel -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1.83.0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 33%] Built target nextpnr-himbaechel /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 33%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-384.cc [ 33%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-1k.cc [ 34%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-5k.cc [ 34%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-u4k.cc [ 34%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 34%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/arch.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/arch_place.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/arch_pybindings.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/bitstream.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/cells.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/chains.cc cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 35%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/delay.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/gfx.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/main.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/pack.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40/pcf.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 43%] Built target chipdb-ecp5-bbas [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 45%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 46%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-ice40.dir/link.d "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1.83.0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/[ 46%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc [ 46%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 46%] Built target chipdb-ecp5-bins /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/CMakeFiles/chipdb-nexus-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 46%] Generating chipdb/chipdb-LIFCL.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus && /usr/bin/prjoxide bba-export LIFCL /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/constids.inc chipdb/chipdb-LIFCL.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus && /usr/bin/cmake -E rename chipdb/chipdb-LIFCL.bba.new chipdb/chipdb-LIFCL.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 46%] Built target chipdb-nexus-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 46%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb/chipdb-25k.cc [ 46%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb/chipdb-45k.cc [ 47%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 47%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-nexus-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 47%] Generating nexus/chipdb/chipdb-LIFCL.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/chipdb/chipdb-LIFCL.bba nexus/chipdb/chipdb-LIFCL.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 47%] Built target chipdb-nexus-bins /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/CMakeFiles/chipdb-gowin-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 47%] Generating chipdb/chipdb-GW1N-1.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-1 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-1.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-1.bba.new chipdb/chipdb-GW1N-1.bba [ 47%] Generating chipdb/chipdb-GW1NZ-1.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NZ-1 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NZ-1.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NZ-1.bba.new chipdb/chipdb-GW1NZ-1.bba [ 47%] Generating chipdb/chipdb-GW1N-4.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-4 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-4.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-4.bba.new chipdb/chipdb-GW1N-4.bba [ 48%] Generating chipdb/chipdb-GW1N-9.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9.bba.new chipdb/chipdb-GW1N-9.bba [ 48%] Generating chipdb/chipdb-GW1N-9C.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9C -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9C.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9C.bba.new chipdb/chipdb-GW1N-9C.bba [ 48%] Generating chipdb/chipdb-GW1NS-2.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-2 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-2.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-2.bba.new chipdb/chipdb-GW1NS-2.bba [ 48%] Generating chipdb/chipdb-GW1NS-4.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-4 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-4.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-4.bba.new chipdb/chipdb-GW1NS-4.bba [ 49%] Generating chipdb/chipdb-GW2A-18.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/gowin_bba -d GW2A-18 -i /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW2A-18.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW2A-18.bba.new chipdb/chipdb-GW2A-18.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 49%] Built target chipdb-gowin-bbas /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 50%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/arch.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/arch_place.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/arch_pybindings.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/baseconfigs.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/bitstream.cc libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 51%] Built target nextpnr-ice40 [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/cells.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/config.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/gfx.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/globals.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/lpf.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/main.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/pack.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5/pio.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 60%] Building CXX object CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -MF CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o.d -o CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/chipdb/chipdb-LIFCL.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 60%] Built target chipdb-nexus [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-gowin-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 61%] Generating gowin/chipdb/chipdb-GW1N-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-1.bba gowin/chipdb/chipdb-GW1N-1.cc [ 61%] Generating gowin/chipdb/chipdb-GW1NZ-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.bba gowin/chipdb/chipdb-GW1NZ-1.cc [ 61%] Generating gowin/chipdb/chipdb-GW1N-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-4.bba gowin/chipdb/chipdb-GW1N-4.cc [ 61%] Generating gowin/chipdb/chipdb-GW1N-9.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-9.bba gowin/chipdb/chipdb-GW1N-9.cc [ 62%] Generating gowin/chipdb/chipdb-GW1N-9C.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-9C.bba gowin/chipdb/chipdb-GW1N-9C.cc [ 62%] Generating gowin/chipdb/chipdb-GW1NS-2.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1NS-2.bba gowin/chipdb/chipdb-GW1NS-2.cc [ 62%] Generating gowin/chipdb/chipdb-GW1NS-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1NS-4.bba gowin/chipdb/chipdb-GW1NS-4.cc [ 63%] Generating gowin/chipdb/chipdb-GW2A-18.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW2A-18.bba gowin/chipdb/chipdb-GW2A-18.cc [ 63%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-ecp5.dir/link.d "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1.83.0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 63%] Built target chipdb-gowin-bins /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 63%] Generating chipdb/chipdb-1200.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/gfx.h 1200 > chipdb/chipdb-1200.bba.new cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-1200.bba.new chipdb/chipdb-1200.bba [ 63%] Generating chipdb/chipdb-6900.bba cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/gfx.h 6900 > chipdb/chipdb-6900.bba.new libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 63%] Built target nextpnr-ecp5 /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/arch.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/arch_place.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/arch_pybindings.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/fasm.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/global.cc [ 65%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/io.cc [ 65%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/main.cc [ 65%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/pack.cc [ 65%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/pdc.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/pins.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus/post_place.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 67%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 67%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc [ 67%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 67%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 71%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 71%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 71%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 71%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-6900.bba.new chipdb/chipdb-6900.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 73%] Built target chipdb-machxo2-bbas [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 73%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-1.cc [ 74%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc [ 74%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-4.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-9.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1N-9C.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1NS-2.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/nexus -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 76%] Linking CXX executable nextpnr-nexus /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-nexus.dir/link.txt --verbose=1 [ 77%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW1NS-4.cc [ 77%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/chipdb/chipdb-GW2A-18.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 77%] Built target chipdb-gowin /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-machxo2-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 78%] Generating machxo2/chipdb/chipdb-1200.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/chipdb/chipdb-1200.bba machxo2/chipdb/chipdb-1200.cc [ 78%] Generating machxo2/chipdb/chipdb-6900.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/chipdb/chipdb-6900.bba machxo2/chipdb/chipdb-6900.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 78%] Built target chipdb-machxo2-bins /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 78%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/arch.cc [ 78%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/arch_pybindings.cc [ 78%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/cells.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/cst.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/gfx.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/globals.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/main.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin/pack.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-nexus.dir/link.d "CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o" -o nextpnr-nexus -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1.83.0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 80%] Built target nextpnr-nexus [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/chipdb-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 81%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/chipdb/chipdb-1200.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 82%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/chipdb/chipdb-6900.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 82%] Built target chipdb-machxo2 [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' cd /builddir/build/BUILD/nextpnr-0.7-build/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles/nextpnr-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 82%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/arch.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 82%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/arch_place.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 84%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/arch_pybindings.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 85%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/baseconfigs.cc [ 85%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/bitstream.cc [ 85%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/cells.cc [ 85%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/config.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 87%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/gfx.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 87%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/globals.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 87%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/lpf.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 88%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/main.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2/pack.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/archcheck.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/basectx.cc [ 90%] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 90%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/bits.cc [ 90%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/command.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/context.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/design_utils.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/embed.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/handle_error.cc [ 92%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstring.cc [ 93%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/idstringlist.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/log.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_assertions.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_namespaces.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/nextpnr_types.cc [ 94%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/property.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/pybindings.cc [ 94%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc [ 95%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [ 95%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc [ 95%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/gowin -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 95%] Linking CXX executable nextpnr-gowin /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-gowin.dir/link.d "CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o" -o nextpnr-gowin -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1[ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/report.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdc.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/sdf.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/str_ring_buffer.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/svg.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/timing_log.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/json_frontend.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/jsonwrite.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/detail_place_core.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/parallel_refine.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/place_common.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer1.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_heap.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/placer_static.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/timing_opt.cc .83.0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [ 99%] Built target nextpnr-gowin [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router1.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/router2.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DBOOST_ATOMIC_DYN_LINK -DBOOST_ATOMIC_NO_LIB -DBOOST_FILESYSTEM_DYN_LINK -DBOOST_FILESYSTEM_NO_LIB -DBOOST_IOSTREAMS_DYN_LINK -DBOOST_IOSTREAMS_NO_LIB -DBOOST_PROGRAM_OPTIONS_DYN_LINK -DBOOST_PROGRAM_OPTIONS_NO_LIB -DBOOST_SYSTEM_DYN_LINK -DBOOST_SYSTEM_NO_LIB -DBOOST_THREAD_DYN_LINK -DBOOST_THREAD_NO_LIB -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel -I/usr/include/python3.13 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/generated -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/kernel/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/frontend/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/json/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/rust/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/place/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/common/route/. -I/builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/. -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/3rdparty/oourafft/fftsg2d.cc [100%] Linking CXX executable nextpnr-machxo2 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-machxo2.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--dependency-file=CMakeFiles/nextpnr-machxo2.dir/link.d "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o" -o nextpnr-machxo2 -ljson11 /usr/lib64/libtbb.so.12.14 /usr/lib64/libboost_filesystem.so.1.83.0 /usr/lib64/libboost_program_options.so.1.83.0 /usr/lib64/libboost_iostreams.so.1.83.0 /usr/lib64/libboost_system.so.1.83.0 /usr/lib64/libboost_thread.so.1.83.0 -lpthread /usr/lib64/libpython3.13.so /usr/lib64/libboost_atomic.so.1.83.0 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' [100%] Built target nextpnr-machxo2 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr-0.7-build/nextpnr' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/CMakeFiles 0 + mkdir -p examples/ice40 examples/ecp5 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.Z7x5Ry + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + '[' /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT ++ dirname /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT + mkdir -p /builddir/build/BUILD/nextpnr-0.7-build + mkdir /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + DESTDIR=/builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT + /usr/bin/cmake --install . -- Install configuration: "RelWithDebInfo" -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-himbaechel -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/example -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-1.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NZ-1.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-4.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9C.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NS-4.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18C.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a100t.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a50t.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a200t.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7k70t.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7s50.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z010.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z020.bin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/nextpnr/himbaechel/ng-ultra -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-ecp5 -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-nexus -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-gowin -- Installing: /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/bin/nextpnr-machxo2 + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 0.7-20250116.0.git155adc3f.fc42 --unique-debug-suffix -0.7-20250116.0.git155adc3f.fc42.x86_64 --unique-debug-src-base nextpnr-0.7-20250116.0.git155adc3f.fc42.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-0.7-build/nextpnr find-debuginfo: starting Extracting debug info from 7 files DWARF-compressing 7 files sepdebugcrcfix: Updated 7 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-0.7-20250116.0.git155adc3f.fc42.x86_64 find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j2 /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT Scanned 48 directories and 310 files, processed 0 inodes, 0 modified (0 replaced + 0 rewritten), 0 unsupported format, 0 errors Reading /builddir/build/BUILD/nextpnr-0.7-build/SPECPARTS/rpm-debuginfo.specpart Processing files: nextpnr-0.7-20250116.0.git155adc3f.fc42.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.hPve7e + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + cd nextpnr + DOCDIR=/builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/doc/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/README.md /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/docs /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/examples /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.No6RTc + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + cd nextpnr + LICENSEDIR=/builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/licenses/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/COPYING /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/LICENSE-imgui.txt /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/LICENSE-qtimgui.txt /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-0.7-build/nextpnr/LICENSE-python-console.txt /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: nextpnr = 1:0.7-20250116.0.git155adc3f.fc42 nextpnr(x86-64) = 1:0.7-20250116.0.git155adc3f.fc42 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libboost_filesystem.so.1.83.0()(64bit) libboost_iostreams.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.2)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.8)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libjson11.so.0()(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libpython3.13.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-0.7-20250116.0.git155adc3f.fc42.x86_64 Provides: nextpnr-debugsource = 1:0.7-20250116.0.git155adc3f.fc42 nextpnr-debugsource(x86-64) = 1:0.7-20250116.0.git155adc3f.fc42 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-0.7-20250116.0.git155adc3f.fc42.x86_64 Provides: debuginfo(build-id) = 1fae0b562e7bc74f38a1bd493192a33e4d2a861d debuginfo(build-id) = 7c43160da7059fb93034ee9cce1f121e727935fd debuginfo(build-id) = 878447627f02ee44e1f8b36a71b67e1075073a11 debuginfo(build-id) = abe3ce51f0a71c2468e676c8f99d1fc1ff431280 debuginfo(build-id) = d13171d1476c31bfe9c87db608463fcda2013256 debuginfo(build-id) = e7e86c292f2284658b38e344150c68f16d21d860 debuginfo(build-id) = ee8660aaf9f5aabb5844d9638ff993fb1a97dbff nextpnr-debuginfo = 1:0.7-20250116.0.git155adc3f.fc42 nextpnr-debuginfo(x86-64) = 1:0.7-20250116.0.git155adc3f.fc42 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(x86-64) = 1:0.7-20250116.0.git155adc3f.fc42 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/nextpnr-0.7-build/BUILDROOT Wrote: /builddir/build/RPMS/nextpnr-0.7-20250116.0.git155adc3f.fc42.x86_64.rpm Wrote: /builddir/build/RPMS/nextpnr-debuginfo-0.7-20250116.0.git155adc3f.fc42.x86_64.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-0.7-20250116.0.git155adc3f.fc42.x86_64.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.vfbKIg + umask 022 + cd /builddir/build/BUILD/nextpnr-0.7-build + test -d /builddir/build/BUILD/nextpnr-0.7-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-0.7-build + rm -rf /builddir/build/BUILD/nextpnr-0.7-build + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm Finish: build phase for nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1737200356.388020/root/var/log/dnf5.log INFO: chroot_scan: creating tarball /var/lib/copr-rpmbuild/results/chroot_scan.tar.gz /bin/tar: Removing leading `/' from member names INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20250116.0.git155adc3f.fc42.src.rpm) Config(child) 41 minutes 13 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20250116.0.git155adc3f.fc42", "arch": "src" }, { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20250116.0.git155adc3f.fc42", "arch": "x86_64" }, { "name": "nextpnr-debuginfo", "epoch": 1, "version": "0.7", "release": "20250116.0.git155adc3f.fc42", "arch": "x86_64" }, { "name": "nextpnr-debugsource", "epoch": 1, "version": "0.7", "release": "20250116.0.git155adc3f.fc42", "arch": "x86_64" } ] } RPMResults finished